KR100842947B1 - 플라즈마 처리 방법 및 플라즈마 처리 장치 - Google Patents

플라즈마 처리 방법 및 플라즈마 처리 장치 Download PDF

Info

Publication number
KR100842947B1
KR100842947B1 KR1020037008581A KR20037008581A KR100842947B1 KR 100842947 B1 KR100842947 B1 KR 100842947B1 KR 1020037008581 A KR1020037008581 A KR 1020037008581A KR 20037008581 A KR20037008581 A KR 20037008581A KR 100842947 B1 KR100842947 B1 KR 100842947B1
Authority
KR
South Korea
Prior art keywords
plasma
electrode
focus ring
processing
wafer
Prior art date
Application number
KR1020037008581A
Other languages
English (en)
Other versions
KR20030066759A (ko
Inventor
고시이시아키라
히모리신지
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2000395138A external-priority patent/JP2002198355A/ja
Priority claimed from JP2000395139A external-priority patent/JP2002198356A/ja
Priority claimed from JP2001000095A external-priority patent/JP2002203840A/ja
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20030066759A publication Critical patent/KR20030066759A/ko
Application granted granted Critical
Publication of KR100842947B1 publication Critical patent/KR100842947B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)

Abstract

플라즈마 처리 장치는 처리용기(11) 내에 서로 평행하게 배치된 한 쌍의 전극(12, 13)을 구비하고, 한쪽의 전극(12) 상에 피처리체(8)를 유지하고, 또한, 당해 전극(12)에 피처리체를 둘러싸는 포커스링(17)이 마련되어 있다. 이 장치의 전극에 고주파 전력을 인가함으로써 한 쌍의 전극간에 플라즈마를 발생시키고, 이 플라즈마에 의해서 피처리체를 처리하는 플라즈마 처리 방법은 다음과 같이 행해진다. 우선, 일정한 재료, 치수 및 형상의 포커스링을 이용하여 일정 처리 조건 하에서 플라즈마 처리를 행한다. 다음으로, 이 플라즈마 처리의 결과에 근거하여, 피처리체의 외주측의 처리 레이트가 중심측의 처리 레이트보다도 낮은(높은) 경우에는, 그 정도에 따라서, 포커스링의 임피던스 및/또는 비유전율을 증대(저하)시키도록, 재료, 치수 및 형상 중 적어도 하나를 변경한 새로운 포커스링을 준비한다. 그리고, 준비된 새로운 포커스링을 이용하여 동일 처리 조건 하에서 플라즈마 처리를 행한다.

Description

플라즈마 처리 방법 및 플라즈마 처리 장치{PLASMA PROCESSING METHOD AND PLASMA PROCESSOR}
본 발명은 서로 평행하게 배치된 한 쌍의 전극을 구비한 플라즈마 처리 장치 및 그와 같은 장치를 이용한 플라즈마 처리 방법에 관한 것으로, 특히 피처리체에 대한 플라즈마 처리의 균일성을 향상시키기 위한 개량에 관한 것이다.
종래의 플라즈마 처리 장치는, 예컨대, 도 15에 도시하는 바와 같이, 처리용기(도시하지 않음) 내에 승강할 수 있게 배치된 하부전극(1)과, 이 하부전극(1)과 대향하여 서로 평행하게 배치된 상부전극(2)을 구비하고 있다. 이들 전극(1, 2)에 대하여, 제 1, 제 2 고주파 전원(3, 4)으로부터 각각 주파수를 달리하는 고주파 전력이 정합기(3A, 4A)를 거쳐서 인가되게 되어 있다. 이것에 의해 양 전극(1, 2)간에 플라즈마를 발생시켜 피처리체인 웨이퍼(8) 표면의 실리콘산화막을 에칭하도록 구성되어 있다. 또한, 하부전극(1) 상면의 외주부에는 웨이퍼(8)를 둘러싸는 포커스링(5)이 배치되어 있다. 이 포커스링(5)은 양 전극(1, 2)간에서 발생한 플라즈마를 웨이퍼(8) 상에 수렴하기 위한 것이다. 또한, 상부전극(2)의 외주측에는 후 술하는 실드링(6)이 설치되어 있다.
그런데, 종래의 플라즈마 처리 장치의 경우에는, 에칭 등의 플라즈마 처리에 즈음하여 하부전극(1)과 상부전극(2) 사이에 전계가 형성된다. 그런데, 하부전극(1)에서는 웨이퍼를 탑재한 부분과 포커스링(5)의 부분이 전기적으로 불연속이며, 고주파 전원(3, 4)에 대한 회로가 등가로 되어 있지 않다. 이에 따라, 포커스링(5)의 영향에 의해 웨이퍼(8)의 외주측과 중심측의 사이에 전계강도의 차가 발생해 버린다. 이 때문에, 웨이퍼(8)의 외주측의 에칭 레이트가 상대적으로 저하하여, 에칭 레이트가 불균일하게 된다고 하는 문제가 있다.
그 때문에, 종래부터 하부전극에서의 전계의 흐트러짐을 방지하는 대책이 여러가지 제안되어 있다. 예컨대, 일본 특허 출원 평성 6-168911호 공보에는 하부전극의 주변에 반응성이온의 밀도분포를 변화시키는 주변 링을 마련한 반도체 제조 장치가 제안되어 있다. 또한, 일본 특허 출원 소화 63-229719호 공보에는 웨이퍼의 외주를 둘러싸는 높이 조정 가능한 링 보조판을 마련한 건식 에칭 장치가 제안되어 있다. 또한, 일본 특허 출원 평성 5-335283호 공보에는 하부전극 상의 웨이퍼의 주연부 근방에 도전성 링을 마련하고, 이 도전성 링을 거쳐서 하부전극과 도통시키거나 또는 동 전위로 제어한 플라즈마 처리 방법이 제안되어 있다.
그러나, 이들 대책에 의해서 전계강도의 균일성을 높일 수 있더라도, 겨우 ±10%의 균일성을 이루는 것이 고작이다. 따라서, 포커스링(5)의 영향에 의한 웨이퍼(8)의 외주측과 중심측 사이의 전계강도의 차를 충분히는 해소할 수 없다. 즉, 여전히 웨이퍼(8)의 외주측과 중심측에서 에칭 레이트가 불균일하게 된다고 하 는 문제가 남겨져 있다.
다음으로, 도 15에는 나타내고 있지 않지만, 상부전극(2)은 전극재와, 이 전극재를 지지하는 지지체를 갖고 있다. 이 경우, 전극재는 스테인레스 등으로 이루어지는 나사에 의해, 지지체에 대하여 체결되어 있다. 상기 실드링(6)은 그와 같은 나사를 플라즈마로부터 보호하고, 또한, 포커스링(5)과 협동하여 웨이퍼(8) 상에 플라즈마를 수렴하기 위해서 상부전극(2)에 설치되어 있다. 이 실드링(6)은 에칭 처리 시에 오염물질을 발생시키지 않도록, 예컨대, 석영 등의 무기산화물로 이루어지는 절연성 재료에 따라 형성되어 있다.
그러나, 예컨대, 실드링(6)이 석영에 의해서 형성되어 있는 플라즈마 처리 장치의 경우에는, 다음과 같은 문제가 있는 것이 판별되었다. 즉, 플루오르카본 가스(CxFy)를 이용하여, 웨이퍼(8)의 실리콘산화막에 레지스트막을 거쳐서 소정의 패턴에 의거한 에칭 처리를 행하면, 도 16에 도시하는 바와 같이, 레지스트막의 에칭 레이트가 웨이퍼(8)의 중심측보다도 외주측에서 높아져 레지스트막의 에칭 레이트가 불균일하게 되는 것을 알았다.
이 때, 도 16에 도시하는 바와 같이, 양 전극(1, 2)의 간격을 21㎜에서 35㎜까지 3단계로 변화시키고, 각각의 간격에서의 레지스트막의 에칭 레이트를 측정했다. 그 결과, 어느 경우도, 웨이퍼(8)의 외주측에서 에칭 레이트가 급격히 상승하여, 레지스트막의 에칭 레이트가 불균일하게 되어 있다. 그리고, 레지스트막의 에칭 레이트가 불균일하게 되면, 실리콘산화막에 대한 에칭의 치수·형상이 목표로 하는 것으로부터 어긋나는 결과로 된다.
다음으로, 종래의 다른 플라즈마 처리 장치로서, 처리 공간 중에 도입한 자장을 회전시키는 것에 의해, 플라즈마 밀도의 균일화와 셀프 바이어스 전압의 균일화를 도모하는 장치가 기지이다. 이와 같은 장치에서는, 외관상 플라즈마의 균일화를 도모할 수 있지만, 자장을 회전시키기 위한 기구가 필요하기 때문에, 플라즈마 장치의 소형화가 곤란하다는 문제가 있었다.
최근에는, 자장을 회전시키지 않고 플라즈마를 균일화하는 것으로 소형화를 도모한 플라즈마 처리 장치도 제안되어 있다. 이 장치는 도 17에 나타내는 바와 같은, 도전성의 상부 링전극(224)을 갖는 상부전극(220)을 구비하고 있다. 그리고, 처리용기의 주위에 배치한 자석에 의해 웨이퍼의 피처리면에 대하여 평행하고 균일한 자장을 발생시키고, 또한, 상부 링전극(224)에서의, 자장의 4극에 대응한 급전(給電) 포인트(북(N)측(231), 남(S)측(232), 동(E)측(233), 서(W)측(234)의 각 급전 포인트)에, 각각 예컨대, 100㎒의 고주파 전압을 공급하여 전장을 발생시키도록 구성되어 있다. 즉, 상부 링전극(224)의 하면 근방에서는, 플라즈마 중의 하전(荷電) 입자를 웨이퍼 상의 E×B 드리프트 방향과는 반대 방향의, W측으로부터 E측으로 드리프트시킴으로써 W-E 사이에서의 플라즈마의 균일화를 도모하고 있다.
그러나, 이 플라즈마 처리 장치에서는, 처리용기의 주위에 배치한 자석이 형성하는 수평자장은 자력밀도가 E측에서 상대적으로 높고, W측에서는 상대적으로 낮게 된다. 이 때문에, 상부 링전극(224) 하면 근방에서의 W측으로부터 E측으로의 전자의 E×B 드리프트 효과가 저하한다는 문제가 있다.
본 발명은 상기 과제를 해결하기 위해서 이루어진 것으로, 우선 제 1에, 포커스링의 영향에 따른 피처리체의 외주측과 중심측의 전계강도의 차를 저감시켜, 피처리체에 대한 플라즈마 처리를 균일하게 실행할 수 있는 플라즈마 처리 방법을 제공하는 것을 목적으로 한다.
본 발명자는 플라즈마 처리 조건에 대해서 여러가지 검토한 결과, 처리 조건에 따라 포커스링을 특정한 재료나 치수·형상으로 제작함으로써 피처리체의 외주측과 중심측의 전계강도의 차를 저감할 수 있는 것을 지견(知見)했다.
본 발명은 상기 지견에 근거하여 이루어진 것으로, 처리용기 내에 서로 평행하게 배치된 한 쌍의 전극을 구비하여, 어느 하나의 전극 상에 피처리체를 유지하고, 또한 당해 전극에 피처리체를 둘러싸는 포커스링이 마련되는 플라즈마 처리 장치에 있어서, 적어도 하나의 전극에 고주파 전력을 인가함으로써 한 쌍의 전극간에 플라즈마를 발생시키고, 이 플라즈마에 의해서 피처리체를 처리하는 플라즈마 처리 방법으로서,
(a)일정한 재료, 치수 및 형상의 상기 포커스링을 이용하여 일정 처리 조건 하에서 플라즈마 처리를 행하는 공정과,
(b)이 플라즈마 처리의 결과에 근거하여,
(b-1)피처리체의 외주측의 처리 레이트가 중심측의 처리 레이트보다도 낮은 경우에는, 그 정도에 따라 상기 포커스링의 임피던스 및/또는 비유전율을 증대시키고,
(b-2)피처리체의 외주측의 처리 레이트가 중심측의 처리 레이트보다도 높은 경우에는, 그 정도에 따라 상기 포커스링의 임피던스 및/또는 비유전율을 저하시키도록 재료, 치수 및 형상 중 적어도 하나를 변경한 새로운 포커스링을 준비하는 공정과,
(c)준비된 새로운 포커스링을 이용하여 상기 (a)공정의 처리 조건 하에서 플라즈마 처리를 행하는 공정
을 구비한 플라즈마 처리 방법을 제공한다.
이 플라즈마 처리에 따르면, 포커스링의 영향에 따른 피처리체의 외주측과 중심측의 전계강도의 차를 저감시켜 피처리체에 대한 플라즈마 처리를 균일하게 실행할 수 있다.
마찬가지의 관점에서, 본 발명은 처리용기와, 이 처리용기 내에 배치된 제 1 전극과, 상기 처리용기 내에 상기 제 1 전극과 평행하게 배치된, 피처리체를 유지하는 제 2 전극과, 적어도 상기 제 2 전극에 고주파 전력을 인가하는 고주파 전원과, 상기 제 2 전극에 피처리체를 둘러싸도록 마련된 포커스링을 구비하고, 상기 고주파 전력의 인가에 의해 상기 제 1 및 제 2 전극간에 플라즈마를 발생시키며, 이 플라즈마에 의해 피처리체를 처리하도록 구성되고, 또한, 상기 포커스링은 상기 고주파 전력의 인가에 의해 상기 플라즈마를 봉쇄하는, 임피던스가 1∼25Ω이고 비유전율이 21∼30인 유전성 재료와, 임피던스가 12∼25Ω이고 비유전율이 5∼30인 유전성 재료 중 어느 하나로 만들어지는 플라즈마 처리 장치도 제공한다.
본 발명은 제 2에, 상기 실드링을 구비한 플라즈마 처리 장치에 있어서, 피처리체에 대하여 에칭 처리, 그 밖의 플라즈마 처리를 실시할 때에, 그 플라즈마 처리의 균일성을 높일 수 있는 플라즈마 처리 장치를 제공하는 것을 목적으로 하고 있다.
본 발명자 등은, 석영(SiO2)제의 실드링을 구비한 플라즈마 처리 장치를 이용하여 실리콘산화막을 에칭할 때에, 레지스트막의 외주측의 에칭 레이트가 상승하는 원인에 대하여 여러가지 검토한 결과, 이하의 것을 알았다. 즉, 에칭 시에 실드링(6) 표면이 플라즈마 중의 이온공격을 받는다. 이 때, 실드링(6)이 석영에 의해서 형성되어 있기 때문에, 이온공격에 의해 하기 반응이 일어나고, SiO2로부터 양 전극(1, 2)간에 산소 등의 반응부 생성물이 생성된다. 특히, 도 15에 도시하는 바와 같이, 실드링(6)의 내주단과 전극재 사이에 단차가 있으면, 이 단차 부분이 스퍼터링을 받기 쉽기 때문에 실드링(6)으로부터의 산소 방출량이 많아진다. 그리고, 이 산소의 영향에 의해 레지스트막의 에칭 레이트가 웨이퍼(8)의 외주측에서 중심측보다도 상승하여 불균일하게 되는 것으로 추정된다.
Figure 112003022683019-pct00001
또, 이와 같은 산소 발생의 문제는 석영에 한정되지 않고, 다른 무기산화물로 이루어지는 실드링(6)에 공통된 것이다.
본 발명은 상기 지견에 근거하여 이루어진 것으로, 처리용기와, 이 처리용기 내에 배치된 제 1 전극과, 상기 처리용기 내에 상기 제 1 전극과 평행하게 배치된, 피처리체를 유지하는 제 2 전극과, 적어도 상기 제 1 전극에 고주파 전력을 인가하는 고주파 전원과, 상기 제 1 전극에서의 적어도 상기 제 2 전극의 대향면의 외주 부분을 피복하는, 무기산화물로 이루어지는 실드링을 구비하고, 상기 고주파 전원에 의한 고주파 전력의 인가에 의해 상기 제 1 및 제 2 전극간에 플라즈마를 발생시키고, 이 플라즈마에 의해서 피처리체를 처리하도록 구성되고, 또한, 상기 실드링에서의 플라즈마와의 접촉 부분이 플라즈마 내성막으로 피복되어 있는 플라즈마 처리 장치를 제공한다.
이 플라즈마 처리 장치에 따르면, 무기산화물로 이루어지는 실드링을 구비한 플라즈마 처리 장치에 있어서, 피처리체에 대하여 플라즈마 처리를 실시할 때에 그 플라즈마 처리의 균일성을 높일 수 있다.
본 발명은 또, 레지스트막으로 덮인 박막을 갖는 피처리체에 대하여, 상기 레지스트막의 형상에 따른 상기 박막의 에칭 처리를 행하기 위한 플라즈마 처리 장치로서, 처리용기와, 이 처리용기 내에 배치된 제 1 전극과, 상기 처리용기 내에 상기 제 1 전극과 평행하게 배치된, 피처리체를 유지하는 제 2 전극과, 적어도 상기 제 1 전극에 고주파 전력을 인가하는 고주파 전원과, 상기 제 1 전극에서의 적어도 상기 제 2 전극과의 대향면의 외주 부분을 피복하는, 무기산화물로 이루어지는 실드링을 구비하고, 상기 고주파 전원에 의한 고주파 전력의 인가에 의해 상기 제 1 및 제 2 전극간에 플라즈마를 발생시키고, 이 플라즈마에 의해서 피처리체에 에칭 처리를 실시하도록 구성되고, 또한, 상기 실드링에서의 플라즈마와의 접촉 부분이 플라즈마 내성막으로 피복되어 있는 플라즈마 처리 장치를 제공한다.
이 플라즈마 처리 장치에 따르면, 무기산화물로 이루어지는 실드링을 구비한 플라즈마 처리 장치에 있어서, 레지스트막의 에칭을 균일화하고, 나아가서는 박막에 대한 에칭의 균일성을 높일 수 있다.
본 발명은 제 3에, 상부전극의 하면 근방에서의 W측으로부터 E측으로의 전자의 E×B 드리프트 효과를 높임으로써 플라즈마 밀도가 균일하고 또한 고밀도화를 도모할 수 있는 소형의 플라즈마 처리 장치를 제공하는 것을 목적으로 한다.
이 목적을 달성하기 위해서, 본 발명은 처리용기와, 이 처리용기 내에 배치되고, 또한, 전기적으로 접지된 중앙전극과, 이 중앙전극의 외주를 둘러싸는 고주파전극을 포함하는 제 1 전극과, 상기 처리용기 내에 상기 제 1 전극과 평행하게 배치되어 피처리면을 가진 피처리체를 유지하는 제 2 전극과, 상기 제 1 및 제 2 전극간에, 피처리체의 상기 피처리면에 대하여 평행하고 또한 일정한 방향성을 가진 자장을 형성하는 자장 인가 수단과, 적어도 상기 제 1 전극의 고주파전극에 고주파 전력을 인가하는 고주파 전원을 구비하고, 상기 고주파 전원으로부터 상기 고주파전극으로의 급전은 상기 고주파전극에서의 상기 자장의 서측의 급전 포인트에서만 행해지는 플라즈마 처리 장치를 제공한다.
이 플라즈마 처리 장치에 따르면, 제 1 전극에서의 고주파전극의 W측에는 다른 극측보다도 강한 전장이 형성되기 때문에, 자장 인가 수단에 의해 형성되는 W측이 상대적으로 낮은 자장을 보상할 수 있다. 이에 따라, 고주파전극에서의 피처리체측의 면 근방에서, W측으로부터 E측으로의 전자의 E×B 드리프트 효과를 향상시킬 수 있다. 따라서, 플라즈마 밀도가 균일하고 또한 고밀도화를 도모할 수 있는 소형 플라즈마 처리 장치를 제공하는 것이 가능해진다.
상기 플라즈마 처리 장치에 있어서, 상기 제 1 전극에서의 고주파전극의 외주를 둘러싸는, 전기적으로 접지된 포커스링을 더 구비함으로써 피처리체의 외주측의 처리 레이트의 균일성을 향상시킬 수 있다.
그 경우, 상기 제 1 전극에서의 중앙전극과 고주파전극 사이에 개재되는 절연부재와, 상기 제 1 전극의 고주파전극과 상기 포커스링 사이에 개재되는 절연 부재를 더 구비하는 것이 바람직하다. 이에 따라, 절연부재에서 기생 용량이 형성되기 때문에, W측 급전 포인트에만 급전한 경우에, 기생 용량의 저항 성분에 의해 W측으로부터 하류측(S측, N측, E측)에 흐르는 전류 및 전력을 저감시킬 수 있다. 그 결과, W측에는 상대적으로 강한 전장을 발생시키고, 하류측(S측, N측, E측)에는 상대적으로 약한 전장을 발생시킬 수 있다.
또한, 상기 제 2 전극에 플라즈마 생성 및 바이어스용 고주파 전력이 인가되도록 구성하는 것으로, 플라즈마 중의 에칭 등의 처리 성분을 피처리체의 피처리면에 효율적으로 입사시킬 수 있다.
도 1은 본 발명의 제 1 실시형태에 따른 플라즈마 처리 장치를 모식적으로 나타내는 종단면도,
도 2는 도 1에 나타내는 포커스링의 등가 회로를 나타내는 도면,
도 3은 도 1에 나타내는 플라즈마 처리 장치에 있어서, 포커스링의 재료를 여러가지 변경하여 웨이퍼의 에칭 처리를 행한 경우의, 웨이퍼의 직경 방향의 에칭 레이트 분포를 나타내는 그래프,
도 4는 도 1에 나타낸 플라즈마 처리 장치에서의, 하부전극 상의 웨이퍼 및 포커스링과 시스(sheath)의 관계를 나타내는 등가 회로도,
도 5는 포커스링의 재료를 여러가지 변경한 경우의, 각 재료의 임피던스 및 비유전율과, 에칭 레이트의 균일성의 관계를 나타내는 그래프,
도 6은 본 발명의 제 2 실시형태를 설명하기 위해서, 도 1에 나타낸 상부전극의 실드링을 확대하여 나타내는 도면,
도 7은 도 6에 나타낸 상부전극의 변형예를 나타내는 도면,
도 8은 제 2 실시형태에서 에칭하는 웨이퍼의 구성을 (a)∼(f)의 종류별로 나타내는 모식적 종단면도,
도 9는 도 6에 나타낸 실드링을 산화이트륨으로 피복하여, 상하의 전극 간격을 변경하여 실리콘산화막을 에칭 처리한 경우의 레지스트막의 에칭 레이트를 나타내는 그래프,
도 10은 도 6에 나타낸 실드링을 폴리이미드막으로 피복한 경우의 도 9와 마찬가지의 그래프,
도 11은 본 발명의 제 3 실시형태에 따른 플라즈마 처리 장치를 자계의 W극-E극 방향을 따라 절단한 단면도,
도 12는 도 11에 나타낸 플라즈마 처리 장치의 상부전극의 구성을 나타내는 평면도,
도 13은 도 11에 나타낸 플라즈마 처리 장치에 있어서 웨이퍼 상에 형성되는 자장분포의 측정 결과를 나타내는 도면,
도 14는 제 3 실시형태에 따른 상부전극이 전장을 형성하는 원리를 설명하기 위한 도면,
도 15는 종래의 플라즈마 처리 장치의 일례를 나타내는 구성도,
도 16은 도 15에 나타내는 플라즈마 처리 장치를 이용하여 상하의 전극 간격을 변경해서 실리콘산화막을 에칭 처리한 경우의 레지스트막의 에칭 레이트를 나타내는 그래프,
도 17은 종래의 플라즈마 처리 장치의 상부전극의 구성을 나타내는 평면도이다.
(제 1 실시형태)
우선, 본 발명의 제 1 실시형태에 대하여 도 1 내지 도 5를 참조하여 설명한다.
본 실시형태의 플라즈마 처리 방법에 이용되는 플라즈마 처리 장치(10)는, 예컨대, 도 1에 도시하는 바와 같이, 알루미늄 등의 도전성 재료로 이루어지는 처리용기(11)를 구비하고 있다. 이 처리용기(11) 내에는, 서로 평행하게 대향 배치된 하부전극(12) 및 상부전극(13)이 마련되어 있다. 처리용기(11)의 저부에 배치된 하부전극(12)은 알루미늄제이며, 피처리체로서의 웨이퍼(8)를 탑재하여 이것을 유지하게 되어 있다. 처리용기(11)의 천장부에 배치된 상부전극(13)은 처리용 가스의 공급부를 겸하고 있다.
하부전극(12)에는 제 1 고주파 전원(14)이 정합기(14A)를 거쳐서 접속되어 있다. 한편, 상부전극(13)에는 제 1 고주파 전원보다 주파수가 높은 제 2 고주파 전원(15)이 정합기(15A)를 거쳐서 접속되어 있다. 상부전극(13)에는, 가스 공급원(16)이 밸브(16A), 매스 흐름 제어기(16B)를 거쳐서 접속되어 있다. 그리고, 가스 공급원(16)으로부터 상부전극(13)으로 플루오르카본 가스 등의 처리용 가스가 공급된다. 또한, 처리용기(11)의 저면에는 배기구(11A)가 형성되어 있다. 그리고, 배기구(11A)에 접속된 도시하지 않는 배기 장치를 거쳐서, 처리용기(11) 내를 배기하는 것으로, 처리용 가스에 의한 소정의 진공도를 유지할 수 있게 되어 있다.
예컨대, 처리용기(11) 내를 처리용 가스로 소정의 진공도를 유지한 상태에서, 제 1 고주파 전원(14)으로부터 하부전극(12)에 2㎒의 제 1 고주파 전력을 인가하고, 또한, 제 2 고주파 전원(15)으로부터 상부전극(13)에 60㎒의 제 2 고주파 전력을 인가한다. 제 2 고주파 전력의 활동으로, 하부전극(12)과 상부전극(13) 사이에서 처리용 가스의 플라즈마를 발생하고, 또한, 제 1 고주파 전력의 활동으로 하부전극(12)에 바이어스 전위가 발생한다. 이에 따라, 하부전극(12) 상의 웨이퍼(8)에 대하여 예컨대, 반응성 이온 에칭 등의 플라즈마 처리를 할 수 있다.
또한, 하부전극(12)의 상면 외주측에는 웨이퍼(8)의 외주를 둘러싸는 포커스링(17)이 배치되어 있다. 이 포커스링(17)은 웨이퍼(8)에 플라즈마를 모으기 위한 것이다. 하부전극(12)의 상면에는 고압직류 전원(18A)에 접속된 정전척(18)이 배치되어 있다. 이 정전척(18)은 고압직류 전원(18A)에서 인가된 고압직류 전압으로 웨이퍼(8)를 정전 흡착한다. 또한, 하부전극(12)에는 냉각기구(19) 및 가열기구(도시하지 않음)가 내장되고, 이들 냉각기구(19) 및 가열기구를 거쳐서 웨이퍼(8)를 소정의 온도로 조정한다.
또한, 하부전극(12) 내에는, 열 전달 매체로서의 가스(예컨대, He 가스)가 유통하는 가스 통로(12A)가 상면의 복수 개소에서 개구하도록 형성되어 있다. 또한, 정전척(18)에 가스 통로(12A)의 개구에 대응하는 복수의 구멍(18B)이 형성되어 있다. 이들 구멍(18B)에서, He 가스를 웨이퍼(8)와 정전척(18) 사이의 미세한 틈에 공급함으로써 하부전극(12)과 웨이퍼(8) 사이의 열 전달을 촉진한다. 또한, 하부전극(12)의 하면과 처리용기(11)의 저면 사이에는, 예컨대, 알루미늄제의 벨로즈(20)가 개재되어 있다. 그리고, 도시하지 않는 승강기구를 거쳐서 하부전극(12)을 승강시킴으로써 플라즈마 처리의 종류에 따라 상부전극(13)과의 간격을 적절히 설정할 수 있게 되어 있다.
또한, 상부전극(13)은 판형상의 전극재(13A)와, 이 전극재(13A)를 착탈할 수 있게 지지하는 공중의 지지체(13B)를 갖고 있다. 전극재(13A) 및 지지체(13B)에는 서로 일치하는 복수의 구멍(13C)이 분산 형성되어 있다. 이들 구멍(13C)은 가스 공급원(16)으로부터 상부전극(13)으로 수급한 처리용 가스를 처리용기(11) 내 전체로 균등하게 분산 공급한다. 또, 도 1에서, 참조 부호 22는 제 2 고주파 전원(15)으로부터 하부전극(12)에 유입한 고주파 전류를 여과하는 하이패스 필터, 참조 부호 23은 제 1 고주파 전원(14)으로부터 상부전극(13)에 유입된 고주파 전류를 여과하는 로우패스 필터이다.
그런데, 제 2 고주파 전력에 의해서 하부전극(12)과 상부전극(13) 사이에 형성되는 전계는 포커스링(17)의 재료에 따라 분포 상태가 변한다. 이것은 재료에 따른 임피던스의 차이에 의해서 포커스링(17)에 대한 제 2 고주파 전류의 통과하기 쉬운 정도가 변하기 때문에 일어나는 현상이라고 생각된다.
즉, 고주파 전류에 대한 포커스링(17)의 임피던스가 높은 경우에는, 제 2 고주파 전류가 포커스링(17)을 통과하기 어렵고, 포커스링(17) 윗쪽에서 플라즈마가 생성되지 않는다. 이 때문에, 플라즈마의 확산을 억제하고 웨이퍼(8) 상의 범위 내에 봉쇄해서, 웨이퍼(8) 외주측의 플라즈마 밀도를 높여 전자밀도를 높일 수 있다. 이에 따라, 웨이퍼(8) 외주측의 에칭 레이트를 상대적으로 높이는 것이 가능 해진다.
한편, 포커스링(17)의 임피던스가 낮은 경우에는, 제 2 고주파 전류가 포커스링(17)을 통과하기 쉽고, 포커스링(17) 윗쪽에서도 플라즈마를 생성한다. 이 때문에, 플라즈마가 포커스링(17)의 반경 방향 외측으로 확산하기 쉽게 되어 웨이퍼(8) 외주측에서 플라즈마 밀도가 저하하고, 에칭 레이트도 저하한다.
여기서, 포커스링(17)의 고주파 전류에 대한 임피던스 Z는 저항(R) 성분과 리액턴스(X) 성분으로 이루어져 있다. 저항 성분은 ①식으로 도시하는 바와 같이, 포커스링(17)의 재료의 저항율 ρ와, 축선 방향의 투영면적 S 및 길이(두께) d로 규정된다. 또한, 리액턴스 성분은 ②식으로 도시하는 바와 같이, 포커스링(17)의 재료의 비유전율 εr과, 축선 방향의 투영면적 S 및 길이(두께) d로 규정된다(단, εo는 진공의 유전율).
Figure 112003022683019-pct00002
또한, 포커스링(17)의 재료는 그 임피던스 Z가 R 성분과 X 성분으로 구성되어 있기 때문에, 도 2에 나타내는 등가 회로로서 나타낼 수 있다. 이 등가 회로는, 전류 경로가 2개 있는 병렬 회로이기 때문에, 전류 경로가 하나인 직렬 회로로 변환하여 정규화한다. 재료의 병렬 회로에서의 저항치를 Rp, 정전 용량을 Cp, 리액턴스를 Xp로 정의하고, 정규화 후의 저항치를 Rs, 리액턴스를 Xs로 정의하면, 변 환식은 ③식 및 ④식으로 표시된다.
그래서, 아래 표에 나타내는 물성값(저항율 ρ, 비유전율 εr, 정전 용량 Cp)을 갖는 재료, 즉, 실리콘(Si), 저항율이 다른 2종류의 탄화규소(SiC-1, SiC-2), 산화지르코늄(ZrO2) 및 질화알루미늄(AlN)을 이용하여 각각 포커스링을 제작했다.
그리고, 정규화 후의 각 재료에 의한 포커스링의 임피던스 Z를 구하여 다음 표에 나타내었다. 또, 다음 표에는 웨이퍼(8)에 대한 그 밖의 물성값 등도 더불어 나타내었다.
Figure 112003022683019-pct00004
그리고, 제작한 각 재료의 포커스링을 이용하여, 하기의 처리 조건 A로 웨이퍼(8)의 블랭킷 실리콘산화막을 에칭했다. 그리고, 웨이퍼(8)의 각 부위의 에칭 레이트를 측정하고, 이 측정 결과를 도 3에 나타내었다.
〔처리 조건 A〕
웨이퍼 직경: 200㎜
피 에칭막: 블랭킷 실리콘산화막
상부전극: 전원 주파수=60㎒, 전원 전력=1500W
하부전극: 전원 주파수=2㎒, 전원 전력=1600W
전극간 갭: 25㎜
처리 압력: 20mTorr
처리 가스(유량):C4F8(8sccm), Ar(300sccm), O2(8sccm)
도 3에 나타내는 결과에 따르면, 종래 공지의 Si에 의한 포커스링에서는, 웨이퍼(8)의 외주측에서 에칭 레이트가 저하되어 있다. 이것에 비하여 Si 이외의 재료에 의한 포커스링에서는 웨이퍼(8) 외주측의 에칭 레이트가 모두 Si와 비교하여 높아져 있다. 이것은, 그들 재료의 임피던스 Z가 Si와 비교하여 모두 2∼3자리수 높고, 고주파 전류가 흐르기 어렵게 되어 있기 때문이라고 생각된다.
그런데, SiC-1과 SiC-2에 의한 포커스링의 경우를 관찰해 보면, 후자는 전자보다도 저항 Rs가 3자리수 높아져 있지만, 에칭 레이트는 거의 변화하지 않고 있다. 이것은, 저항 Rs보다도 용량성을 규정하는 리액턴스 Xs가 고주파 전류의 흐름을 좌우하여, 저항 Rs만으로는 임피던스 Z가 그다지 변화하지 않기 때문이라고 생각된다.
다음으로, ZrO2에 의한 포커스링의 경우는, SiC-2에 의한 것과 비교하여 임피던스 Z가 조금 낮지만, 웨이퍼(8) 외주측의 에칭 레이트는 반대로 높아지고 있다. 또한, AlN에 의한 포커스링의 경우는, 임피던스 Z가 가장 높지만, 웨이퍼(8) 외주측의 에칭 레이트는 반대로 저하하는 경향이 있다. 이것은 임피던스 Z 이외에 리액턴스 Xs가 별도의 작용에 의해 에칭 레이트에 기여하여 있기 때문이라고 생각된다.
여기서 리액턴스 Xs의 영향에 대하여 고찰한다. 포커스링(17) 및 웨이퍼(8)와 플라즈마 사이에 형성되는 시스 영역에서는, 도 4에 나타내는 등가 회로가 생각된다. 도 4에서, Vs1은 웨이퍼 상의 시스 전압, V는 웨이퍼의 전압, Vs2는 포커스링 상의 시스 전압, Vfr는 포커스링 전압이다. 웨이퍼의 전압 V=0으로 한 것은, 웨이퍼의 저항 Rp가 다른 재료와 비교하여 극히 작기 때문에, 하부전극(12)에 거의 단락하고 있다고 생각되기 때문이다. 도 4로부터도 분명하듯이, 하부전극(12)에서의 웨이퍼(8)의 부분과 포커스링(17) 부분에서의 전압에는 ⑤식이 성립한다.
Figure 112003022683019-pct00005
여기서, V=Q/C(Q는 하부전극(12)에 들어오는 전자에 의한 전하로 일정)의 관계로부터, 포커스링(17)의 정전 용량 C가 클수록 Vfr이 작아지고, 반대로 정전 용량 C가 클수록 Vs2가 커져 Vs1에 가까워진다. 웨이퍼의 외주측의 시스 전압 Vedge 는 Vs1과 Vs2의 중간의 값이 되기 때문에, 포커스링(17)의 정전 용량이 클수록 Vedge 가 높아지고, 나아가서는 에칭 레이트가 높아진다. 따라서, 포커스링(17)의 용량성이 높을수록 웨이퍼 외주측의 에칭 레이트가 높아지는 것을 이것으로부터도 알 수 있다. 따라서, 포커스링(17)은 그 임피던스 Z뿐만 아니라, 리액턴스 Xs도 웨이퍼 외주측의 에칭 레이트의 높이에 영향을 미치고 있는 것을 알 수 있다.
또한, 상기의 표에 나타낸 각종 재료로 이루어지는 포커스링의 임피던스 Z(Ω) 및 비유전율 εr과, 에칭 레이트 균일성(±%)의 관계를 구하고, 이 결과를 도 5에 O 표시로 나타내었다. 도 5의 마이너스의 수치는 웨이퍼 외주측의 에칭 레이트가 중심측보다도 높은 것을 나타내고 있다. 또한, 플러스의 수치는 웨이퍼 외주측의 에칭 레이트가 중심측보다도 낮은 것을 나타내고 있다. 도 5에 나타내는 결과에 따르면, 임피던스 Z 및/또는 비유전율 εr이 커질수록 균일성을 나타내는 수치가 마이너스측으로 커진다. 즉, 웨이퍼 외주측의 에칭 레이트가 중심측보다도 높아지는 경향을 나타내고 있다. 반대로, 임피던스 Z 및/또는 비유전율 εr이 작을수록 균일성을 나타내는 수치가 플러스측으로 커진다. 즉, 웨이퍼 외주측의 에칭 레이트가 중심측보다도 낮게 되는 경향을 나타내고 있다.
그리고, 상기 처리 조건 및 재료 중에서는 ZrO2에 의한 포커스링의 경우의 균일성이 가장 좋고, -2.0%과 -3.0%의 사이였다. 또한, AlN에 의한 것의 균일성은 ZrO2 다음으로 좋고, -3.0%과 -4.0%의 사이였다. 그러나, SiC에 의한 포커스링의 경우의 균일성은 거의 +5.0%로 앞의 두 경우와 비교하여 뒤떨어지고 있었다. 또, 종래 공지의 Si에 의한 포커스링의 경우의 균일성은 8.0%을 넘는 것을 알 수 있다.
금후의 가일층의 미세화를 감안하면, 에칭 레이트의 균일성은 ±4.0% 이하가 바람직하고, ±3.0% 이하가 보다 바람직하다. 예컨대, 도 5에 나타내는 경우에서는, 포커스링의 재료로서, 임피던스 Z가 1∼25Ω 범위이고 비유전율 εr이 21∼30의 파선으로 둘러싸는 범위, 또는 임피던스 Z가 12∼25Ω이고 비유전율 εr이 5∼30의 파선으로 둘러싸는 범위에 있는 재료를 이용함으로써 ±4.0% 이하의 균일성이 얻어지게 된다. 또한, 임피던스 Z가 1∼21Ω 범위이고 비유전율 εr이 23∼29의 실선으로 둘러싸는 범위, 또는 임피던스 Z가 13∼21Ω이고 비유전율 εr이 5∼29의 실선으로 둘러싸는 범위에 있는 재료를 이용함으로써 ±3.0% 이하의 균일성이 얻어진다.
그와 같은 물성의 포커스링 재료로서는, 예컨대, 산화지르코늄, 질화알루미늄이 바람직하게 이용된다. 또한, 산화지르코늄제 링과 질화알루미늄제 링의 접합체, 또는 산화지르코늄과 탄화규소를 혼합한 복합재료, 질화알루미늄과 탄화규소를 혼합한 복합재료 등도 이용된다. 복합재료에는 단일재료로 이루어지는 링을 적어도 2종류 접합한 상기 접합체는 물론이고, 복수의 재료로 이루어지는 복합재료를 2종류 접합한 접합체도 포함된다. 또한, 소망하는 임피던스 Z를 실현하도록, 포커스링의 축선 방향의 투영면적 S 및/또는 길이(두께) d를 조절하기 위해서 그 치수·형상을 변경할 수도 있다.
그리고, 이상과 같은 관점을 근거로 하여, 본 발명에 따른 플라즈마 처리 방법은 상술한 구성의 플라즈마 처리 장치(10)를 이용하여 이하와 같은 순서로 행해 진다.
(a)우선, 일정한 재료, 치수 및 형상의 포커스링(17)을 이용하여 일정 처리 조건(예컨대, 상술한 처리 조건 A) 하에서 웨이퍼(8)의 에칭 처리를 행한다.
(b)다음으로, 이 에칭 처리의 결과로서 얻어진 웨이퍼(8) 상의 에칭 레이트 분포에 근거하여,
(b-1)웨이퍼(8)의 외주측의 에칭 레이트가 중심측의 에칭 레이트보다도 낮은 경우에는, 그 정도에 따라 포커스링(17)의 임피던스 Z 및/또는 비유전율 εr을 증대시키고,
(b-2)웨이퍼(8)의 외주측의 에칭 레이트가 중심측의 에칭 레이트보다도 높은 경우에는, 그 정도에 따라 포커스링(17)의 임피던스 Z 및/또는 비유전율 εr을 저하시키도록, 재료, 치수 및 형상 중 적어도 하나를 변경한 새로운 포커스링(17)을 준비한다.
(c)다음으로, 준비된 새로운 포커스링(17)을 이용하여 상기 (a)공정의 처리 조건 하에서 에칭 처리를 행한다.
또, 상기 (c)공정에서의 에칭 처리의 결과에 따라서는, 또한 (b)공정 및(c)공정을 반복해도 무방하다. 한편, (c)공정의 결과를 만족하는 것인 경우는 변경후의 포커스링(17)을 이용하여 (c)공정만을 필요한만큼 반복하여 행하면 좋다.
이와 같은 본 실시형태의 플라즈마 처리 방법에 따르면, 포커스링(17)의 영향에 의한 웨이퍼(8)의 외주측과 중심측의 전계강도의 차를 저감시켜, 웨이퍼(8)에 대한 에칭 처리를 균일하게 실행하도록 할 수 있다.
또, 본 발명에 이용되는 포커스링을 형성하는 재료는 상기 실시형태에 하등 제한되는 것이 아니라, 필요에 따라 각종의 재료를 혼합 내지는 접합한 복합재료이어도 무방하다. 또한, 본 실시형태에서는 에칭 처리를 예로 들어 설명했지만, 그 밖의 플라즈마 처리에 대해서도 본 발명을 적용할 수 있다.
(제 2 실시형태)
다음으로, 본 발명의 제 2 실시형태에 대하여, 도 1 및 도 6 내지 도 10을 참조하여 설명한다. 또, 본 실시형태의 플라즈마 처리 장치는 기본적으로는 도 1에 나타내는 상기 제 1 실시형태의 장치와 마찬가지의 구성을 갖고 있기 때문에, 동일한 구성 부분에는 동일 부호를 부여하고, 중복하는 설명을 생략한다.
본 실시형태의 상부전극(13)은, 도 6에 도시하는 바와 같이, 예컨대, 판형상의 실리콘제 전극재(13A)와, 이 전극재(13A)를 착탈할 수 있게 지지하는 공중의 알루미늄제 지지체(13B)를 갖고 있다. 전극재(13A)의 외주측에는 전주에 걸쳐 박육부(薄肉部)(13C)가 형성되어 있다. 전극재(13A)는 박육부(13C)에서 복수의 볼트(13D)로 지지체(13B)에 체결되어 있다. 그들 볼트(13D)는 박육부(13C)의 주 방향으로 등 간격에 배치되어 있다.
이 상부전극(13)에는 실드링(21)이 설치되어 있다. 이 실드링(21)은, 예컨대, 석영, 알루미나 등의 무기산화물(본 실시형태에서는 석영을 사용)에 의해서 형성되어 있다. 이 실드링(21)은 상부전극(13)의 외주면 및 전극재(13A)의 박육부(13C)를 피복하고, 상부전극(13)의 하면측에서는 전극재(13A)와 같은 면으로 되어 있다.
실드링(21)은 전극재(13A)의 박육부(13C)를 피복하는 부분이 플랜지부(21A)로서 형성되어 있다. 이 플랜지부(21A)의 하면은 실드링(21)이 플라즈마와 직접 접촉하지 않도록 플라즈마 내성막(21B)으로 피복되어 있다. 여기서 플라즈마 내성막이란, 플라즈마 내성이 있어서 이온공격을 받더라도 산소나 오염물질을 발생시키지 않는 막을 말한다.
이 플라즈마 내성막(21B)은, 예컨대, 산화이트륨(Y2O3) 등의 희토류 원소의 산화물이나, 폴리이미드계 수지 등의 내열성 수지에 의해서 형성되어 있다. 산화이트륨막은 산화이트륨을 대기 플라즈마 용사(溶射)함으로써 적절한 막두께를 형성할 수 있다. 그 막두께는 특별히 제한되지 않지만, 예컨대, 100∼500㎛의 두께가 바람직하다. 폴리이미드계 수지의 막으로서는, 예컨대, 폴리이미드계 수지제의 점착테이프가 바람직하게 이용된다. 산화이트륨은 석영과 마찬가지로 결정 구조에 산소원자를 함유하고 있다. 그러나, 이트륨원자와 산소원자의 결합에너지가 높게 안정하여 있기 때문에, 산화이트륨이 이온공격을 받더라도 Y-0 사이의 결합이 개열(開列)하기 어렵고, 산소원자의 해리를 각별히 억제할 수 있다. 폴리이미드계 수지도 열적으로 안정되어, 이온공격에 의한 산소원자의 해리를 억제할 수 있다.
따라서, 상부전극(13)의 실드링(21)이 이온공격을 받더라도, 실드링(21)으로부터의 산소의 발생을 각별히 억제할 수 있다. 이 때문에, 웨이퍼(8)의 외주측에 서, 종래와 같이 산소 플라즈마에 의해서 레지스트막의 에칭 레이트가 상승할 우려가 없어서 웨이퍼(8) 상의 레지스트막의 에칭 레이트를 균일화할 수 있다. 반대로 말하면, 웨이퍼(8) 외주측의 레지스트막의 에칭 레이트가 상승하는 경우는, 웨이퍼(8) 외주측에서의 플라즈마가 산소를 다량 포함하는 것을 알 수 있다. 예컨대, 플루오르카본 가스(CxFy)를 이용하여 실리콘산화막을 에칭할 때에, 실드링(21)이 플라즈마 내성막(21B)에 의해서 피복되어 있으면, 웨이퍼(8)의 외주측에서의 플라즈마가 산소를 다량 포함하는 경우가 없고, 레지스트막의 에칭 레이트를 균일화할 수 있다.
더 설명하면, 웨이퍼(8) 외주측에서의 플라즈마가 산소를 다량 포함하면, 이 부분에서의 레지스트막의 에칭 레이트가 높아지고, 또한, 다음과 같은 현상이 생긴다. 즉, 웨이퍼(8) 외주측에서는, 에칭으로 파인 실리콘산화막의 개구 내에서의 측벽 보호막인 CF 중합물, 또는 개구 내의 플라즈마 중의 CF 이온 등이 산소와 반응하여 CO, CO2를 생성한다. 이에 따라, 웨이퍼(8) 외주측에서 개구 내의 플라즈마가 불소를 다량 포함하게 되어 실리콘산화막의 에칭 레이트가 상대적으로 상승해서 개구측벽의 확대가 진행한다. 이 때문에, 웨이퍼(8) 상의 실리콘산화막은 에칭 레이트의 균일성이 나빠져 에칭에 의한 형상의 열화가 생긴다.
그러나, 본 실시형태에서는, 플라즈마 내성막(21B)의 효과로, 웨이퍼(8) 외주측에서 플라즈마가 산소를 다량 포함하는 일이 없기 때문에, 레지스트막뿐만 아니라 실리콘산화막의 에칭 레이트 자체도 균일화할 수 있다. 그 결과, 에칭에 의 해 웨이퍼(8)의 전면에 걸쳐 실리콘산화막의 개구 내에 수직인 측벽을 형성하는 것이 가능해진다.
실리콘산화막을 에칭하는 경우에는, 예컨대, 도 8의 (a)∼(f)에 나타내는 형태가 있다(또, 도 8에서 이용하는 알파벳 기호는 화학식 등과는 다름).
도 8(a)에 나타내는 웨이퍼(8)는 실리콘 S 상에 실리콘산화막 SO 및 레지스트막 R을 갖고 있다. 이 웨이퍼(8)의 실리콘산화막 SO를 에칭하는 경우에는 레지스트막 R의 소정의 패턴에 따라서 에칭된다. 이 때, 본 실시형태의 경우에는 실드링(21)으로부터 산소가 발생하기 어렵기 때문에, 웨이퍼(8)의 전면에 걸쳐 레지스트막 R의 에칭 레이트를 균일하게 할 수 있어, 실리콘산화막 SO도 균일하게 에칭하여 수직인 측벽을 형성할 수 있다. 특히 실리콘산화막 R이 BPSG인 경우에는 산소의 영향에 의해 휨이 일어나기 쉽지만, 본 실시형태에서는 휨을 방지할 수 있다.
도 8(b)에 나타내는 웨이퍼(8)는 실리콘산화막 SO 상에 실리콘 질화막 SN 및 레지스트막 R을 갖고, 도 8(c)에 나타내는 웨이퍼(8)는 실리콘산화막 SO 상에 폴리실리콘막 PS 및 레지스트막 R을 갖고 있다. 이들의 경우도, 도 8(a)에 나타내는 웨이퍼(8)와 마찬가지로, 웨이퍼(8)의 전면에 걸쳐 레지스트막 R의 에칭 레이트를 균일하게 할 수 있어, 실리콘산화막 SO에 대해서도 균일한 에칭을 실시할 수 있다.
도 8(d)에 나타내는 웨이퍼(8)는 실리콘 S 상에 알루미늄, 실리콘 및 동의 합금층 AL 및 레지스트막 R을 갖고 있다. 이 경우에는 웨이퍼(8) 외주측에서의 합금막 AL의 산화를 억제할 수 있다.
도 8(e)에 나타내는 웨이퍼(8)는 실리콘 S 상에 실리콘산화막 SO 및 텅스텐 막 MW를 갖고 있다. 이 웨이퍼(8)의 텅스텐막 MW를 에치백을 행할 때는 웨이퍼(8) 외주측에서의 텅스텐의 산화를 억제할 수 있다.
또한, 도 8(f)에 나타내는 웨이퍼(8)는 실리콘 상에 실리콘 질화막 SN으로 피복된 폴리실리콘막 PS, 실리콘산화막 SO 및 레지스트막 R을 갖고 있다. 이 웨이퍼(8)에 셀프얼라인먼트 콘택트(SAC) 에칭을 실행하는 경우에도, 산소의 영향을 억제하여 웨이퍼(8) 전면에 걸쳐 레지스트막 R의 에칭 레이트를 균일하게 할 수 있어, 균일한 SAC 에칭을 실시할 수 있다.
이상 설명한 바와 같이 본 실시형태에 따르면, 석영제 실드링(21)의 플라즈마와의 접촉부를 플라즈마 내성막(21B)으로 피복하고 있기 때문에, 에칭 등의 플라즈마 처리를 할 때에, 실드링(21)에의 이온공격에 의한 산소 생성을 방지할 수 있다. 따라서, 웨이퍼(8) 외주측에서의 플라즈마가 산소를 다량 포함할 우려가 없고, 웨이퍼(8) 외주측에서의 레지스트막의 에칭 레이트 상승을 방지할 수 있다. 이에 따라, 웨이퍼(8) 상의 레지스트막의 에칭 레이트를 균일화하고, 나아가서는 웨이퍼(8) 상의 실리콘산화막의 에칭 레이트 및 형상을 균일화할 수 있다.
다음으로, 도 7은 도 6에 나타낸 상부전극(13)의 변형예를 나타내는 도면이다. 도 7에 나타내는 상부전극(113)은 실리콘제 전극재(113A), 그 지지체(113B) 및 실드링(121)을 갖고, 전극재(113A) 및 실드링(121)의 형상을 달리하는 것 이외는 도 6에 나타내는 것과 마찬가지이다. 그 전극재(113A)는 전체가 동일한 두께로 형성되어 있다. 그리고, 실드링(121)의 플랜지부(121A)에 의해서, 전극재(113A)와 지지체(113B)를 연결하는 복수의 볼트(113D)를 피복하고 있다. 이 플랜지부(121A) 는 전극재(131A)의 하면과의 사이에 단차가 있는 점에서, 도 6에 나타내는 것과 상위하고 있다. 그리고, 플랜지부(121A)의 하면 및 단차를 이루는 내주면, 즉 플라즈마와의 접촉 부분이 플라즈마 내성막(121A)에 의해 피복되어 있다. 이 경우도, 플라즈마 처리 시의 실드링(121)으로부터의 산소 생성을 억제할 수 있어 마찬가지의 작용 효과를 기할 수 있다.
다음으로, 본 실시형태의 구체적인 실시예에 대하여 설명한다.
(실시예 1)
본 실시예에서는, 플라즈마 내성막(21B)으로서 산화이트륨의 용사막을 이용하고 있다. 그리고, 하기의 처리 조건 B에서, 하부전극(12)과 상부전극(13)의 간격을 21㎜, 25㎜, 35㎜로 설정하여 에칭을 실행하고, 각 경우의 레지스트막의 에칭 레이트를 측정했다. 그 결과를 도 9에 나타낸다.
〔처리 조건 B〕
웨이퍼 직경: 200㎜
레지스트막: Kr-F 레지스트막
피 에칭막: 실리콘산화막
처리 내용: 콘택트 홀 형성
상부전극: 전원 주파수=60㎒, 전원 전력=1500W
하부전극: 전원 주파수=2㎒, 전원 전력=1600W
처리 압력: 20mTorr
처리 가스(유량):C4F8(8sccm), Ar(300sccm), O2(8sccm)
도 9에 나타내는 결과에 따르면, 도 16에 나타내는(플라즈마 내성막이 없음)종래의 플라즈마 처리 장치의 결과와 비교하여, 웨이퍼(8) 외주측에서의 에칭 레이트 상승이 억제되고, 웨이퍼(8) 상의 레지스트막의 에칭 레이트가 균일화되어 있는 것을 알 수 있다. 이것으로부터도 분명하듯이, 실드링(21)에 플라즈마 내성막(21B)을 피복함으로써 웨이퍼(8) 외주측에서의 산소의 발생을 억제하여, 산소에 의한 에칭에의 악영향을 각별히 억제할 수 있는 것을 알 수 있다.
(제 2 실시형태)
본 실시예에서는, 플라즈마 내성막(21B)으로서 폴리이미드막(구체적으로는 캡튼(상표) 테이프)을 이용하여 실시예 1과 마찬가지의 측정을 했다. 그리고, 도 10에 도시하는 바와 같이, 본 실시예에서도 실시예 1과 마찬가지의 효과를 확인할 수 있는 결과가 얻어졌다.
또, 본 실시형태에서는, 플라즈마 내성막으로서 산화이트륨 용사막이나 폴리이미드막을 이용하는 경우에 대하여 설명했지만, 본 발명은 실드링을 피복하여 산소의 발생을 억제할 수 있는 플라즈마 내성막이면 특별히 제한되는 것이 아니다.
또한, 본 실시형태에서는 석영제 실드링을 예로 들어 설명했지만, 본 발명이 대상으로 하는 실드링은 플라즈마에 노출된 경우에 산소를 방출하는 무기산화물로 이루어지는 것이면 특별히 제한되는 것이 아니다.
또한, 본 실시형태에서는 에칭 처리를 예로 들어 설명했지만, 본 발명은 CVD 등의 다른 플라즈마 처리에 대해서도 적용할 수 있다.
또, 하부전극에 설치되는 보호커버나 포커스링이 석영 등의 무기산화물로 형성되어 있는 경우에는, 이들 부재에 플라즈마 내성막을 피복하는 것이라도, 실드링의 경우와 마찬가지의 작용 효과를 기대할 수 있다.
(제 3 실시형태)
다음으로, 본 발명의 제 3 실시형태에 대해서 도 11 내지 도 14를 참조하여 설명한다. 이하, 본 실시형태에서, 동일한 기능 및 구성을 갖는 구성 요소에 대해서는 동일 부호를 부여하고, 중복하는 설명을 생략한다.
도 11은 본 실시형태에 따른 플라즈마 처리 장치를, 후술하는 자석(138)에 의한 자계의 W극-E극 방향에서 절단한 단면도이다. 도 11에 나타내는 플라즈마 처리 장치(100)는 처리실(플라즈마 처리실)(102)을 형성하는 원통형상의 처리용기(104)를 구비하고 있다. 이 처리용기(104)는 기밀(氣密)로 폐색 자유롭게 구성되고, 또한, 알루마이트 처리된 알루미늄 등으로 이루어지며, 접지선(106)을 거쳐서 접지되어 있다.
또한, 처리실(102) 내에는 웨이퍼(8)의 탑재대로서도 사용되는 도전성의 하부전극(108)이 배치되어 있다. 이 하부전극(108)의 탑재면에는 웨이퍼(8)를 흡착 유지하기 위한 정전척(110)이 마련되어 있다. 이 정전척(110)은, 예컨대, 도전성 의 박막의 양측을 폴리이미드계의 수지에 의해 사이에 끼운 구조를 갖고 있다. 그 박막에, 처리용기(104)의 외부에 설치된 직류 전원(도시하지 않음)으로부터 전압이 인가되면, 그 쿨롱력에 의해 웨이퍼(8)가 흡착 유지된다. 또, 이와 같은 정전척(110)에 의하지 않고, 예컨대, 기계적 클램프에 의해 웨이퍼(8)의 주연부를 가압하도록 하여 웨이퍼(8)를 유지하는 구성으로 할 수도 있다.
또한, 하부전극(108) 상에는 정전척(110)을 둘러싸도록 하여 포커스링(112)이 마련되어 있다. 이 포커스링(112)은, 예컨대, 석영 등의 절연재로 이루어지고, 웨이퍼(8) 외주측의 에칭 레이트의 균일성을 향상시키는 기능을 갖는다.
또한, 하부전극(108)에는, 제 2 정합기(114)를 거쳐서 제 2 고주파 전원(116)이 접속되어 있고, 소정 주파수(예컨대, 13.56㎒)의 고주파 전력(50∼2500w)이 하부전극(108)에 인가된다. 이와 마찬가지의 구성에 의해 처리 가스가 플라즈마화되고, 또한, 플라즈마 처리 중에 웨이퍼(8)가 탑재된 하부전극(108)에 대하여 바이어스 전위가 인가되어, 플라즈마 중의 에칭을 효율적으로 웨이퍼(8)의 피처리면에 입사시킬 수 있다.
다음으로, 처리실(102) 내에는, 하부전극(108)의 탑재면과 대향하는 도전성 상부전극(120)이 처리실(102)의 천정벽을 구성하도록 배치되어 있다.
본 실시형태에 따른 상부전극(120)은, 도 12에도 도시하는 바와 같이, 대략 원판형의 상부 중앙전극(122)과, 이 중앙전극(122)의 외주를 둘러싸는 상부 링전극(124)으로 구성된다. 상부 링전극(124)의 주위에는 웨이퍼(8) 외주측의 에칭 레이트의 균일성을 향상시키기 위한 상부 포커스링(126)이 배치되어 있다. 또, 상부 중앙전극(122), 상부 링전극(124) 및 상부 포커스링(126)은 알루마이트 처리된 알루미늄으로 이루어진다. 또한, 상부 중앙전극(122)과 상부 링전극(124) 사이 및 상부 링전극(124)과 상부 포커스링(126) 사이에는, 예컨대, 석영으로 이루어지는 절연링(인슐레이터)(140a, 140b)이 배치되어 있다. 또, 상부 중앙전극(122) 및 상부 포커스링(126)은 접지선(128)에 의해 접지된다.
본 실시형태에 따른 상부 링전극(124)은, 종래와 달리, 고주파 전원으로부터의 급전이 상기 자계의 W측의 급전 포인트(134)에서만 행해지도록 되어 있다. 즉, 상부 링전극(124)에는 W측의 급전 포인트(134)에만 제 1 정합기(130)를 거쳐서 제 1 고주파 전원(132)이 접속되어, 소정 주파수(예컨대, 100㎒)의 고주파 전력(50∼1000w)이 인가된다. 이와 같이, 제 1 고주파 전원(132)으로부터의 고주파 전력을, 상부 링전극(124)의 W측 급전 포인트(134)에만 급전하는 구성을 채용함으로써, 후술한 바와 같이, 상부전극(120)의 W측에 다른 극측보다도 강한 전장을 발생시킬 수 있다.
또한, 상부 중앙전극(122)에는 복수의 가스 토출 구멍(122a)이 형성되어 있고, 처리실(102) 내에는, 예컨대, Ar, C4F8 가스나 CF4 가스 등 처리 가스가 가스 공급원(도시하지 않음)으로부터 유량조정밸브(도시하지 않음), 개폐밸브(도시하지 않음) 및 가스 토출 구멍(122a)을 거쳐서 공급된다. 처리실(102) 내에 공급된 그들 가스는 처리실(102)의 저부에 마련된 배기관(136)을 거쳐서, 예컨대, 터보분자펌프 등의 진공 펌프(도시하지 않음)에 의해 배기되고, 처리실(102) 내는 임의의 감압도로 진공으로 할 수 있다.
여기서, 처리실(102)의 외주를 둘러싸고, 처리실(102) 내에 자장을 형성하기 위한 자장 형성 수단으로서의 영구자석(예컨대, 다이폴링 마그네트)(138)이 배치되어 있다. 이 자석(138)에 의해, 웨이퍼(8)의 피처리면에 대하여 평행하고 또한 일정한 방향성을 갖는 자장이 형성된다.
이 자석(138)이 형성하는 웨이퍼(8) 상의 자장분포를 도 13에 근거하여 설명한다. 또, 도 13은 본 실시형태에 따른 플라즈마 처리 장치에 있어서, 웨이퍼(8) 상에 형성되는 자장을 실제로 측정하여 얻어진 자장분포를, 웨이퍼(8) 상의 자장의 벡터와 등강도선으로 도시하는 도면이다. 또, 도 13에 나타내는 자장분포는 기본적으로는 종래의 플라즈마 처리 장치에 의해 형성되는 자장분포와 동일하다.
도 13에 도시하는 바와 같이, 웨이퍼 상에는 N측으로부터 S측을 향하여 자장이 형성되지만, E극의 자장의 등강도선의 간격은 W측보다도 좁은 것을 알 수 있다. 이것은 E극측에서 형성되는 자장강도가 W측의 자장강도보다도 강한 것을 나타내고 있다. 환언하면, W측의 자장강도는 E측의 자장강도보다도 약하기 때문에, 상부전극의 W측의 하면 근방에서는 E측으로의 전자의 가속은 저감된다. 즉, 플라즈마 처리 장치 전체로서의 E×B 드리프트 효과가 저감된다.
그래서, 본 실시형태에서는, W측에 형성되는 상대적으로 낮은 자장밀도를 보상하기 위해서, 상부 링전극(122)의 W측 급전 포인트(134)에만 고주파 전원으로부터의 급전을 행하는 구성을 채용한다. 이와 같은 구성에 의해 W측에는 상대적으로 낮은 자장밀도를 보상하는 강한 전장이 형성되기 때문에, E×B 드리프트 효과를 향 상시킬 수 있다.
이하, 상부 링전극의 W측 급전 포인트에만 급전하는 것으로, W측에 상대적으로 강한 전장이 발생하는 원리를 도 14에 근거하여 설명한다.
우선, 도 14에 도시하는 바와 같이, 본 실시형태의 상부 링전극(124)은 고주파 전력이 인가되기 때문에, 기생 인덕턴스 L 및 기생 용량 C를 갖는 LC 회로라고 볼 수 있다. 이 때, 기생 인덕턴스 L은 상부 링전극(124) 자체가 갖는 자기 인덕턴스 L이다. 또한, 기생 용량 C는 상부 링전극(124)과 GND(즉, 상부 중앙전극(122) 및 상부 포커스링(126)) 사이에 있는 인슐레이터(140a, 140b)(도 12 참조) 및 플라즈마 시스 영역에 상당한다.
또, 시스 영역이란, 플라즈마 중에서 이온의 이동속도보다도 큰 이동속도를 갖는 전자가 이온보다도 먼저 부재 또는 웨이퍼 등의 표면 근방에 부착되는 것에 의해 형성되는, 플라즈마의 중성이 무너진 영역을 말한다.
또한, 이와 같은 LC 회로(링전극(124))는, 높은 주파수에서는, 인슐레이터의 기생 용량 C가 필터로서 기능하기 때문에, W측에서 전력이 입력되어 E측에서 출력되는 LC 로우패스 필터 회로라고 생각할 수 있다. 주로, 이와 같은 인슐레이터의 기생 용량 C에 의해 출력측에서 전류의 전달 효율이 감쇠된다. 이하 이것에 대하여 설명한다.
상기 LC 회로(상부 링전극(124))에서, 각 극측에서 발생하는 전장의 강도 E는 아래와 같이 표시된다(이하, 첨자 w, n, s, e는 W, N, S, E의 각 극에 대응하는 것을 나타냄).
W극측: Ew=Iw*(Z0-1/Cw*ω)
N극측: En=In*(Z0+L*ω-1/Cn*ω)
S극측: Es=Is*(Z0+L*ω-1/Cs*ω)
E극측: Ee=Ie*(Z0+2*L*ω-1/Ce*ω)
(단, Z0: 전원으로부터 W측으로의 임피던스, L:자기 인덕턴스, C:기생 용량, I:각 극측에 흐르는 전류)
이 때, 상부 링전극(124)의 W측 급전 포인트에 고주파 전류가 공급되면, 인슐레이터에 의해 형성되는 기생 용량의 임피던스가 저하한다. 이에 따라, 기생 용량 C로부터 GND에 흐르는 전류가 증대하여 기생 용량 C에서 전력이 소비된다. 이와 같은 기생 용량 C는 저항 성분 R을 갖기 때문에 하류측(S측, N측, E측)에 흐르는 전류값 및 전력은 저감한다.
2Ie=Iw-2*Ic
(단, Ic:기생 용량에 흐르는 전류)
Pe=Pw-2*Ic2*Rc
(단, P:각 극측의 전력, Rc:기생 용량의 저항)
또한, 이 때, 유도성 리액턴스(Lωj)도 증가하기 때문에, 상류측(W측)으로부터 하류측(E측)에 흐르는 전류의 전달이 방해된다. 따라서, W측의 전류보다도 E측(출력측)에 흐르는 전류가 감소한다. 이 결과, E측에서 흐르는 전류 Ie는 W측에서 공급되는 전류 Iw보다도 작게 된다(즉, Iw>In=Is>Ie). 따라서, 각 극에서 형성되는 전장은 상대적으로 W측에서는 강하고 E측에는 약하게 형성된다(즉, Ew>En=Es>Ee).
이와 같이, 특히 인슐레이터의 기생 용량 C가 필터로서 기능하기 때문에, 상부 링전극의 W측 급전 포인트에만 급전하는 것에 의해, W측에는 E측보다도 상대적으로 강한 전장을 발생시킬 수 있다.
이상과 같이 구성된 플라즈마 처리 장치에 의해, 예컨대, 실리콘 웨이퍼(8)의 산화막(Si02)에 대하여 에칭 처리하는 경우를 예로 들어, 그 작용 등에 대하여 설명한다.
우선, 웨이퍼(8)가 정전척(110)에 탑재된 후, 처리실(102) 안이 진공 인출 수단(도시하지 않음)에 의해 감압되어 간다. 그리고, 소정의 감압도로 된 후, 처리실(102)에 처리 가스 공급원(도시하지 않음)으로부터 처리 가스(예컨대, C4F8 가스, CO 가스, Ar 가스, O2 가스)가 공급되어, 예컨대, 40mTorr의 설정 압력으로 유지된다.
이어서, 제 2 고주파 전원(116)에 의해 하부전극(108)에, 예컨대, 13.56㎒, 1500w의 고주파 전력이 인가된다. 또한, 제 1 고주파 전원(132)으로부터, 상부 링전극(124)의 W측 급전 포인트(134)에만, 예컨대, 100㎒, 300w의 고주파 전력이 인가되어, 처리실(102) 내에 플라즈마가 여기된다. 이들 양 전극(108, 120)간의 전 계와, 이 전계를 수직으로 가로지르는 자계에서 플라즈마 영역에 서로 직교하는 전자계가 형성되어 있다. 이 때, 상기 설명한 바와 같이, 자석(138)에 의해 처리실(102) 내에 형성되는 자장은 W측이 E측보다도 낮은 자장밀도로 형성되어 있다. 또, 전극간 거리는 27㎜로 설정되어 있다.
또한, 제 2 고주파 전원(116)에 의해, 반도체 웨이퍼(8)가 탑재된 하부전극(108)에 전압을 인가하면, 플라즈마 중의 전자가 이온입자에 우선해서 웨이퍼(8)에 도달하여 대전하여 부(負)로 자기 바이어스된다. 이에 따라, 플라즈마 전압과, 웨이퍼(8)의 자기 바이어스 전압 사이에 큰 전위차가 발생하고, 플라즈마 영역과 웨이퍼(8)의 표면 사이에 시스 영역이 형성된다. 본 실시형태에서는, 상기 설명과 같이, 상부 링전극(124)의 W측 급전 포인트(134)에만 전압을 인가함으로써, 이와 같은 시스 영역이 상부전극(120)의 기생 용량 C로서 작용하여, 상대적으로 N측, S측 및 E측에는 약한 전장이 형성되고, W측에는 강한 전장이 형성된다.
이와 같이, W측에서는 상대적으로 약한 자장에 대하여 상대적으로 강한 전장이 형성된다. 이와 같은 전자계에 의해, 플라즈마 중의 전자 및 이온입자는, E×B 드리프트 운동이 효과적으로 유기됨으로써, 양 전극간의 타원 영역에서 사이클로이드 운동을 하여, 균일하고 또한 고밀도의 플라즈마를 형성한다.
그리고, 플라즈마 중의 이온은 그 전위차에 의해 빠른 속도로 시스 영역을 비상하여, 반도체 웨이퍼(8)의 표면에 수직으로 충돌한다. 이에 따라, 반도체 웨이퍼(8)의 표면에 형성된 레지스트 패턴에 따라 반응성 이온 에칭이 된다. 이 때, 에칭에 의해 발생한 생성 가스는 배출구(136)를 거쳐서 외부로 배출된다.
또, 상기 실시형태에서는, 플라즈마 처리 장치를 실리콘의 반도체 웨이퍼 표면의 실리콘산화막을 에칭하는 장치로서 구성한 경우에 설명했지만, 피처리체로서는 LCD 기판 등의 다른 것을 사용할 수도 있고, 다른 에칭 처리를 실시할 수도 있다.
또한, 상기 실시형태에서는 플라즈마 처리 장치를 에칭 장치로서 구성한 예를 들어 설명했지만, 아싱 장치, 스퍼터링 장치 또는 CVD 장치 등 다른 플라즈마 처리 장치로서 구성할 수도 있다.
또한, 상기 실시형태에서는, 상부 링전극을 단일 구조의 링전극으로서 구성한 경우를 설명했지만, 복수의 링전극을 동심으로 배치한 다중 구조의 링전극으로서 구성할 수도 있다. 그 경우, 각 링전극에 대하여 각각 바람직한 위치에 급전하는 것으로 드리프트 효과를 보다 효과적으로 높일 수도 있다.

Claims (19)

  1. 처리용기 내에 서로 평행하게 배치된 한 쌍의 전극을 구비하여, 어느 하나의 전극 상에 피처리체를 유지하고, 또한 당해 전극에 피처리체를 둘러싸는 포커스링이 마련되는 플라즈마 처리 장치에 있어서, 적어도 하나의 전극에 고주파 전력을 인가함으로써 한 쌍의 전극간에 플라즈마를 발생시키고, 이 플라즈마에 의해서 피처리체를 처리하는 플라즈마 처리 방법에 있어서,
    (a)일정한 재료, 치수 및 형상의 상기 포커스링을 이용하여 일정 처리 조건 하에서 플라즈마 처리를 행하는 공정과,
    (b)이 플라즈마 처리의 결과에 근거하여,
    (b-1)피처리체의 외주측의 처리 레이트가 중심측의 처리 레이트보다도 낮은 경우에는, 그 정도에 따라 상기 포커스링의 임피던스 및/또는 비유전율을 증대시키고,
    (b-2)피처리체의 외주측의 처리 레이트가 중심측의 처리 레이트보다도 높은 경우에는, 그 정도에 따라 상기 포커스링의 임피던스 및/또는 비유전율을 저하시키도록 재료, 치수 및 형상 중 적어도 하나를 변경한 새로운 포커스링을 준비하는 공정과,
    (c)준비된 새로운 포커스링을 이용하여, 상기 (a)공정의 처리 조건 하에서 플라즈마 처리를 행하는 공정을 구비한
    플라즈마 처리 방법.
  2. 제 1 항에 있어서,
    상기 포커스링은 직사각형의 단면 형상을 갖고,
    상기 (b)공정에서, 축선 방향의 투영면적 및/또는 길이를 변경한 새로운 포커스링을 준비하는 플라즈마 처리 방법.
  3. 제 1 항에 있어서,
    상기 포커스링의 재료는 복수의 재료를 포함하는 복합재료인 플라즈마 처리 방법.
  4. 제 3 항에 있어서,
    상기 포커스링의 재료는 산화지르코늄을 포함하는 복합재료, 질화알루미늄을 포함하는 복합재료 및 탄화규소를 포함하는 복합재료 중에서 선택되는 어느 하나의 복합재료인 플라즈마 처리 방법.
  5. 제 1 항에 있어서,
    플라즈마 처리로서 산화막의 에칭을 행하는 플라즈마 처리 방법.
  6. 삭제
  7. 삭제
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 처리용기와,
    이 처리용기 내에 배치된 제 1 전극과,
    상기 처리용기 내에 상기 제 1 전극과 평행하게 배치된, 피처리체를 유지하는 제 2 전극과,
    적어도 상기 제 2 전극에 고주파 전력을 인가하는 고주파 전원과,
    상기 제 2 전극에 피처리체를 둘러싸도록 마련된 포커스링을 구비하고,
    상기 고주파 전력의 인가에 의해 상기 제 1 및 제 2 전극간에 플라즈마를 발생시키며, 이 플라즈마에 의해 피처리체를 처리하도록 구성되고, 또한,
    상기 포커스링은 상기 고주파 전력의 인가에 의해 상기 플라즈마를 봉쇄하는, 임피던스가 1∼25Ω이고 비유전율이 21∼30인 유전성 재료와, 임피던스가 12∼25Ω이고 비유전율이 5∼30인 유전성 재료 중 어느 하나로 만들어지는
    플라즈마 처리 장치.
  16. 제 15 항에 있어서,
    상기 포커스링은 복수의 재료를 포함하는 복합재료로 만들어져 있는 플라즈마 처리 장치.
  17. 제 15 항에 있어서,
    상기 포커스링은 산화지르코늄, 질화알루미늄, 산화지르코늄을 포함하는 복합재료, 질화알루미늄을 포함하는 복합재료, 산화지르코늄과 탄화 규소를 포함하는 복합재료, 질화알루미늄과 탄화규소를 포함하는 복합재료, 산화지르코늄과 질화알루미늄의 접합체 및 2 이상의 상기 복합재료끼리의 접합체 중 어느 하나로 만들어져 있는 플라즈마 처리 장치.
  18. 제 15 항에 있어서,
    상기 제 1 전극에 60㎒의 상기 고주파 전력이 인가되고, 또한, 상기 제 2 전극에 2㎒의 상기 고주파 전력이 인가되도록 구성되어 있는 플라즈마 처리 장치.
  19. 제 15 항에 있어서,
    상기 플라즈마 처리로서, 피처리체 상에 형성된 실리콘 산화막의 에칭을 행하는 플라즈마 처리 장치.
KR1020037008581A 2000-12-26 2001-12-20 플라즈마 처리 방법 및 플라즈마 처리 장치 KR100842947B1 (ko)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
JP2000395138A JP2002198355A (ja) 2000-12-26 2000-12-26 プラズマ処理装置
JPJP-P-2000-00395138 2000-12-26
JPJP-P-2000-00395139 2000-12-26
JP2000395139A JP2002198356A (ja) 2000-12-26 2000-12-26 プラズマ処理装置
JPJP-P-2001-00000095 2001-01-04
JP2001000095A JP2002203840A (ja) 2001-01-04 2001-01-04 プラズマ処理装置
PCT/JP2001/011207 WO2002052628A1 (fr) 2000-12-26 2001-12-20 Procede et appareil de traitement au plasma

Publications (2)

Publication Number Publication Date
KR20030066759A KR20030066759A (ko) 2003-08-09
KR100842947B1 true KR100842947B1 (ko) 2008-07-01

Family

ID=27345544

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020037008581A KR100842947B1 (ko) 2000-12-26 2001-12-20 플라즈마 처리 방법 및 플라즈마 처리 장치

Country Status (3)

Country Link
KR (1) KR100842947B1 (ko)
TW (1) TWI250550B (ko)
WO (1) WO2002052628A1 (ko)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1589567B1 (en) * 2003-09-16 2007-04-04 Shin-Etsu Quartz Products Co., Ltd. Member for plasma etching device and method for manufacture thereof
JP2005303099A (ja) * 2004-04-14 2005-10-27 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2006101480A (ja) 2004-07-12 2006-04-13 Applied Materials Inc プラズマチャンバーとともに使用する固定インピーダンス変換回路網用の装置および方法
KR100752936B1 (ko) * 2005-07-25 2007-08-30 주식회사 에이디피엔지니어링 플라즈마 처리장치의 플라즈마 차폐수단
KR100661745B1 (ko) * 2005-07-25 2006-12-27 주식회사 에이디피엔지니어링 플라즈마 처리장치
US20090004836A1 (en) * 2007-06-29 2009-01-01 Varian Semiconductor Equipment Associates, Inc. Plasma doping with enhanced charge neutralization
JP6539113B2 (ja) * 2015-05-28 2019-07-03 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN111326390B (zh) * 2018-12-17 2023-09-12 中微半导体设备(上海)股份有限公司 射频电极组件和等离子体处理设备
KR102244438B1 (ko) 2018-12-17 2021-04-27 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드. 차이나 플라즈마 처리 장치에 사용되는 rf 전극 조립품 및 플라즈마 처리 장치
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
JPH11191555A (ja) * 1997-12-26 1999-07-13 Gunze Ltd プラズマcvd装置
JPH11214365A (ja) * 1998-01-28 1999-08-06 Kyocera Corp 半導体素子製造装置用部材

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH07254588A (ja) * 1994-03-16 1995-10-03 Toshiba Corp プラズマ表面処理装置
US5716534A (en) * 1994-12-05 1998-02-10 Tokyo Electron Limited Plasma processing method and plasma etching method
JP2000200776A (ja) * 1999-01-07 2000-07-18 Taiheiyo Cement Corp 耐蝕性部材
JP3510993B2 (ja) * 1999-12-10 2004-03-29 トーカロ株式会社 プラズマ処理容器内部材およびその製造方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5919332A (en) * 1995-06-07 1999-07-06 Tokyo Electron Limited Plasma processing apparatus
JPH11191555A (ja) * 1997-12-26 1999-07-13 Gunze Ltd プラズマcvd装置
JPH11214365A (ja) * 1998-01-28 1999-08-06 Kyocera Corp 半導体素子製造装置用部材

Also Published As

Publication number Publication date
KR20030066759A (ko) 2003-08-09
WO2002052628A1 (fr) 2002-07-04
TWI250550B (en) 2006-03-01

Similar Documents

Publication Publication Date Title
KR100842947B1 (ko) 플라즈마 처리 방법 및 플라즈마 처리 장치
US10224180B2 (en) Chamber with flow-through source
JP4418534B2 (ja) 平行平板電極を通じて電力を供給する誘電アンテナを有するプラズマ反応装置
US20040040931A1 (en) Plasma processing method and plasma processor
KR100394484B1 (ko) 플라즈마 처리 방법 및 장치
US8513563B2 (en) Plasma processing apparatus and plasma processing method
EP1840937B1 (en) Plasma processing apparatus and plasma processing method
US6444084B1 (en) Low density high frequency process for a parallel-plate electrode plasma reactor having an inductive antenna
US6524432B1 (en) Parallel-plate electrode plasma reactor having an inductive antenna and adjustable radial distribution of plasma ion density
US6653791B1 (en) Method and apparatus for producing uniform process rates
KR100274306B1 (ko) 에칭방법
EP0805475B1 (en) Plasma processing apparatus
CN101238553B (zh) 带有介电间隔环的边缘环组件
JP3424867B2 (ja) プラズマ処理装置及びプラズマ処理方法
TWI585834B (zh) A plasma processing method and a plasma processing apparatus
TWI408744B (zh) Plasma processing device and plasma processing method
WO2004015738A1 (en) Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
US8034213B2 (en) Plasma processing apparatus and plasma processing method
US20050126711A1 (en) Plasma processing apparatus
WO2000031787A1 (fr) Dispositif de gravure a sec et procede de gravure a sec
KR100781474B1 (ko) 산화막 에칭 방법
TW202131371A (zh) 蝕刻裝置及方法
JPH07254588A (ja) プラズマ表面処理装置
JP3519066B2 (ja) プラズマプロセス用装置
US6432730B2 (en) Plasma processing method and apparatus

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120611

Year of fee payment: 5

FPAY Annual fee payment

Payment date: 20130531

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee