TW201705266A - 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整 - Google Patents

透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整 Download PDF

Info

Publication number
TW201705266A
TW201705266A TW105107509A TW105107509A TW201705266A TW 201705266 A TW201705266 A TW 201705266A TW 105107509 A TW105107509 A TW 105107509A TW 105107509 A TW105107509 A TW 105107509A TW 201705266 A TW201705266 A TW 201705266A
Authority
TW
Taiwan
Prior art keywords
ring
edge
esc
electrode
plasma processing
Prior art date
Application number
TW105107509A
Other languages
English (en)
Other versions
TWI697951B (zh
Inventor
沙拉維納布里恩 斯里拉曼
亞歷山大 派特森
湯瑪士 坎伯
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201705266A publication Critical patent/TW201705266A/zh
Application granted granted Critical
Publication of TWI697951B publication Critical patent/TWI697951B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/3211Antennas, e.g. particular shapes of coils
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32541Shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32568Relative arrangement or disposition of electrodes; moving means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Mechanical Treatment Of Semiconductor (AREA)

Abstract

提供一種用於電漿處理腔室的邊緣環組件,其包含:配置成環繞一靜電夾頭(ESC)的一邊緣環,該靜電夾頭係配置成電連接至一第一RF電源供應器,該ESC具有用於支撐一基板的一頂部表面、及環繞該頂部表面的一環形階,該環形階定義低於該頂部表面的一環形架;一環形電極,其在該環形階內的該邊緣環下方及該環形架上方加以設置;一介電環,其設置在該環形電極下方,用於將該環形電極與該ESC隔離,該介電環係在該環形階內位在該環形架上方;及複數絕緣的連接器,其係設置成通過該ESC且通過該介電環,該複數絕緣的連接器之每一者在一第二RF電源供應器及該環形電極之間提供電連接。

Description

透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
本實施例關於半導體晶圓處理設備工具,且更具體而言,關於在電漿處理腔室中使用的邊緣環組件。
儘管在追求整個晶圓的均勻性有所進展,但在晶圓的邊緣及極限邊緣區域之蝕刻的行為持續為一挑戰。至少10%的晶粒係在晶圓的此區域中受到影響,且考慮增加之每晶粒的成本,經濟上的衝擊可能特別地顯著。為了達成晶圓內的均勻性,具有約1-5 mm之邊緣排除的遠邊效應(例如:在沿著300 mm晶圓之半徑的140-150 mm處)係當前製造業努力的積極焦點。
由於大部分的製程傾向傳輸驅動,蝕刻性能取決於在晶圓的特定區域上方的電漿成分,且在任何電漿源中,本質上將有來自腔室壁的一些非均勻性及影響。在晶圓邊緣的中性粒子及離子的電漿物種成分往往與晶圓的中心係不同的,這是因為由於造成電及化學不連續性兩者之「有限晶圓尺寸」所導致的不連續性。加上中性粒子通量中的梯度,在極限晶圓邊緣的蝕刻行為可與晶圓的其餘部分非常不同。
電壓梯度係在晶圓邊緣加以產生,這是因為從偏壓的表面至接地或浮接的表面之改變。隨著在晶圓及腔室材料(特別是邊緣環)之間的不同電特性,結果是對晶圓的電漿鞘彎曲及偏離法線的離子通量及離子聚焦。此導致在蝕刻特徵部上不期望的效果,其透過特徵部傾斜及/或臨界尺寸(CD)變化表現不均勻性。
目前在反應性離子蝕刻腔室(例如:由Lam Research Corporation製造的Kiyo®系列處理腔室)中,具有變化之導電程度的介電邊緣環係用以避免將靜電夾頭(ESC)曝露於處理電漿,且由於材料特性上的改變,在晶圓邊緣附近本質上產生電性不連續。沒有額外的調諧鈕存在來減輕電特性不連續所致電漿鞘的彎曲造成的離子聚焦及離子軌跡,且因此特徵部傾斜及CD不均勻性之問題持續著。
因此,這是本揭示內容的實施例產生的背景。
本揭示內容的實施例提供方法、設備、及系統以允許在極限晶圓邊界的電漿鞘邊界上方獲得控制,以促進邊緣局部的離子軌跡控制且從而提供在通常約120-150 mm(沿300 mm晶圓的半徑)且超過(具有約0-5 mm之範圍的邊緣排除部)之範圍的極限晶圓邊緣處之晶圓輪廓的調整。在晶圓邊緣附近達成對離子軌跡的控制及將由於鞘彎曲造成之所得的離子聚焦效果最小化,不僅可操縱對晶圓的離子軌跡還操縱離子對中性粒子的通量比例(即,離子對蝕刻劑及/或離子對鈍化劑)。因此,使用額外的調整參數,諸如溫度(例如邊緣環及靜電夾頭(ESC)的溫度)(例如:由Lam Research Corporation製造的液壓均勻性系統(Hydra Uniformity System))及鈍化劑梯度輪廓(例如RTC)臨界尺寸均勻性(CDU),亦可針對縮小的技術節點加以最佳化。
在一些實施方式中,離子通量及軌跡的極限晶圓邊緣控制係藉由RF供電的邊緣環加以達成,其中電極係埋入圍繞晶圓的邊緣環內部且以低RF頻率(在低頻率下電壓係高)加以供電。藉由在埋入的電極上使用足夠高的控制電壓,在極限晶圓邊緣的附近的電漿鞘邊界可藉由允許在邊緣環上方的鞘連續性而加以修改。由於低頻率之RF供電的邊緣環造成之修改的鞘邊界減少在晶圓邊緣的離子傾斜及離子聚焦。
在一實施方式中,提供一種用於電漿處理腔室的邊緣環組件,其包含:配置成環繞一靜電夾頭(ESC)的一邊緣環,該靜電夾頭係配置成電連接至一第一RF電源供應器,該ESC具有用於支撐一基板的一頂部表面、及環繞該頂部表面的一環形階,該環形階定義低於該頂部表面的一環形架;一環形電極,其在該邊緣環下方及該環形架上方加以設置;一介電環,其設置在該環形電極下方,用於將該環形電極與該ESC隔離,該介電環係位在該環形架上方;及複數絕緣的連接器,其係配置成通過該ESC且通過該介電環,該複數絕緣的連接器之每一者在一第二RF電源供應器及該環形電極之間提供電連接。
在一實施方式中,該複數絕緣的連接器之每一者係由一同軸連接器加以定義。
在一實施方式中,該複數絕緣的連接器係配置成將該第二RF電源供應器與該第一RF電源供應器隔離。
在一實施方式中,該邊緣環具有一頂部表面,該邊緣環的頂部表面具有在該邊緣環的內徑加以定義的一階梯邊緣,該階梯邊緣的一下部分係配置成位在比該ESC的頂部表面低的一高度,使得當基板存在時,該基板延伸在該階梯邊緣的下部分上方。
在一實施方式中,該環形電極的至少一部分係在該邊緣環的階梯邊緣下方加以設置。
在一實施方式中,在電漿處理期間施加RF功率至該環形電極的操作導致在該電漿處理期間形成的一電漿鞘在一空間區域中徑向地延伸,該空間區域係實質界定在該邊緣環上方。
在一實施方式中,在電漿處理期間施加RF功率至該環形電極的該操作降低在該基板之一邊緣區域的離子聚焦。
在一實施方式中,在電漿處理期間施加RF功率至該環形電極的該操作,在該基板的邊緣區域處減少從該基板的頂部表面之法線遠離之離子軌跡傾斜。
在一實施方式中,該環形電極具有約5至28厘米的徑向寬度。
在一實施方式中,該環形電極具有約0.5 mm至5厘米的厚度。
在一實施方式中,該環形電極係由複數同心電極加以定義,該複數同心電極的每一者係電連接至該第二RF電源供應器。
在一實施方式中,該環形電極係由一導電材料的網狀結構加以定義。
在一實施方式中,該環形電極係與該邊緣環整合。
在一實施方式中,該環形電極具有至少與該邊緣環之徑向寬度同寬的徑向寬度。
在另一實施方式中,提供一種用於電漿處理的系統,該系統包含:一處理腔室;在該處理腔室內設置的一靜電夾頭(ESC),該ESC具有一頂部表面,該頂部表面係配置成用以在電漿處理期間支撐一基板,該ESC進一步包含環繞該頂部表面的一環形階,該環形階定義位在低於該頂部表面之高度的一環形架,該環形架配置成容納一邊緣環組件,該邊緣環組件包含配置成環繞該ESC的一邊緣環、設置在該邊緣環下方的一環形電極、及設置在該環形電極下方且在該環形架上方的一介電環;一偏壓電極,在該ESC之內加以設置,該偏壓電極配置成接收來自一第一RF電源供應器的RF功率以在該基板上產生一偏電壓;複數絕緣的連接器,配置成通過該ESC,該複數絕緣的連接器配置成通過該介電環,該複數絕緣的連接器之每一者配置成在一第二RF電源供應器及該環形電極之間提供電連接。
在一實施方式中,該複數絕緣的連接器之每一者係由一同軸連接器加以定義。
在一實施方式中,該複數絕緣的連接器係配置成將該第二RF電源供應器與該第一RF電源供應器隔離。
在另一實施方式中,提供一種用於電漿處理的系統,該系統包含:一處理腔室;設置在該處理腔室內的一靜電夾頭(ESC),該ESC具有一頂部表面,該頂部表面係配置成在電漿處理期間支撐一基板,該ESC進一步包含環繞該頂部表面的一環形階,該環形階定義位在低於該頂部表面之高度的一環形架,該環形架配置成容納一邊緣環組件,該邊緣環組件包含配置成環繞該ESC的一邊緣環,該邊緣環組件進一步包含一介電環;一偏壓電極,在該ESC之內加以設置,該偏壓電極配置成接收來自一第一RF電源供應器的RF功率以在該基板上產生一偏電壓;一環形電極,在該ESC之內加以設置,該環形電極係在該ESC之頂部表面的周圍區域下方加以設置;及複數絕緣的連接器,設置成通過該ESC,該複數絕緣的連接器之每一者配置成在一第二RF電源供應器及該環形電極之間提供電連接;其中,該介電環係在該環形電極下方及該環形架上方加以設置。
在一實施方式中,該環形電極及該複數絕緣的連接器係配置成將該第二RF電源供應器與該第一RF電源供應器隔離。
在一實施方式中,該環形電極的第一部分係配置成當基板存在於該ESC之頂部表面上時位在該基板下方,且其中,該環形電極的第二部分徑向地延伸超出該基板的直徑。
在一實施方式中,該第一RF電源供應器及該第二RF電源供應器係配置成以預定義的相角差提供各自的RF功率。該相角差可動態地加以調諧以達到期望的離子通量及由此產生的蝕刻輪廓。
所揭示的實施例提供允許透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整的方法、設備、及系統。應理解本實施例可以多種方式(諸如製程、設備、系統、裝置、或方法)加以實現。一些實施例係描述如下。
在一些實施方式中,離子通量及軌跡的極限晶圓邊緣控制係藉由RF供電的邊緣環組件加以達成,其中電極係內嵌於環繞晶圓的該邊緣環組件或靜電夾頭(ESC)陶瓷的極限邊緣內部,且以低RF頻率(諸如400 kHz、2 MHz - 〜13.56 MHz)對電極供電。電極可在邊緣環的內部加以製造,或可被夾在介電質之間,只要電極係從ESC加以電分離且以RF功率加以提供,該RF功率可與在ESC上的RF功率分開控制。如在下面進一步詳細討論,RF功率可藉由可在ESC周圍定義的饋送件加以提供。
藉由在嵌入的電極上使用足夠高的電壓,在極限晶圓邊緣附近的電漿鞘邊界可藉由在邊緣環上方允許鞘的連續性而加以修改。RF供電的邊緣環所造成的修改的鞘邊界之存在減少在晶圓邊緣處之離子傾斜及離子聚焦。在埋入的電極內之RF電壓的施加可與供應至ESC的主要RF供應源同相或可為有相位差。利用在兩個RF電壓之間的相位差將產生接近晶圓邊緣的橫向電場,此可導致鞘以將在極限晶圓邊緣控制離子軌跡的方式加以修改。
該RF供電的邊緣環組件係在ESC周圍的區域加以設置,使得在晶圓邊緣及接近晶圓邊緣的電漿可被影響。對電漿的影響可協助在邊緣排除區附近以及向外至晶圓的物理邊緣之區域提供電漿鞘的均勻性及連續性。提供均勻性到達且包含排除區之優點,係更多可用的積體電路可在每塊晶圓加以定義,此增加製成良率。
較高的電壓係藉由使用低RF頻率加以獲得,而高電漿密度可藉由使用較高的RF頻率加以達成。在極限晶圓邊緣處產生局部鈍化物種的情況下,可使用 ³ 27 MHz之高RF頻率設計供電的邊緣環,雖然此可能不是一直所期望的。在較低範圍(400 kHz - 13.56 MHz)內的RF頻率可加以使用,專門用於電壓控制及修改鞘邊界。在其他實施例中,延伸的ESC陶瓷階梯可加以使用以容納埋入的電極,使得在電極及邊緣環周圍之間有徑向重疊以操縱在晶圓邊緣上方的鞘。
極限晶圓邊緣控制藉由低頻率之RF供電的邊緣環係可能的,該低頻率之RF供電的邊緣環控制在邊緣環上的電壓,並影響在極限晶圓邊緣的電容耦合。此提供一個技術優勢:極限晶圓邊緣之電及化學不連續性的效果係加以減輕。
圖1A根據本揭示內容的一實施例顯示用於蝕刻操作之電漿處理系統100的架構圖。圖1B根據本揭示內容的一實施例顯示電漿處理系統100的設計圖。電漿處理系統100包括包含ESC 103及介電窗107的腔室101。雖然在圖1B實施例中的ESC 103係由自腔室101的一側延伸的側臂加以支撐,但可理解ESC 103亦可藉由自腔室101之基座延伸的一支撐件或藉由一較低的側開口,自底部加以支撐。此外,RF功率可自ESC 103的底部供應至ESC 103,且此RF功率可對稱地遞送至ESC 103。ESC 103係被定義在電漿處理操作期間支撐基板105。此處所指的基板可無所限制地代表半導體晶圓、硬碟、光碟、玻璃基板、平版顯示器表面、液晶顯示器表面,以及實質上存在於半導體元件製造期間之任何其他類型的基板。在一實施例中,ESC 103係用於支撐及夾持基板105的一種靜電夾頭。在另一實施例中,ESC 103係被定義藉由物理約束夾持基板105。ESC 103包含一個以上偏壓電極104(之後稱偏壓電極104),連接成自偏壓RF電源供應器111經由偏壓匹配電路113、及離子能量與角分布函數(IEADF)控制電路115接收射頻(RF)功率。偏壓RF電源供應器111係在參考接地電位117及偏壓匹配電路113之間加以連接,如電連線119A及119B所示。偏壓匹配電路113係電連接至IEADF控制電路115,該IEADF控制電路115從而電連接至偏壓電極104,如電連線119C所示。
ESC 103包含夾持電極(未顯示)以允許基板105的夾持及釋放。此外,在此實施例中,濾波器及直流(DC)夾持電源供應器係加設置,以藉由夾持電極實現基板105的靜電夾持。此外,ESC 103可包含其他控制系統(諸如升降銷等),用於接收基板105、將基板105降低至ESC 103之上、及抬升基板105離開ESC 103。此外,儘管未以圖示,幫浦係連接至腔室101以提供在腔室101之內壓力的控制,及在電漿處理操作期間將氣體副產物自腔室101排出。
在各種實施例中,介電窗107係自介電材料(諸如陶瓷材料或石英等)加以定義。應理解在其他實施例中介電窗107可自其他介電材料加以定義,只要介電窗107係能夠耐受其在電漿處理操作期間在腔室101之內所曝露的條件。在一些電漿處理操作中,腔室101係以自約50℃延伸至約120℃的溫度範圍之內的升高溫度加以操作。通常,腔室101之內的溫度將取決於所執行的特定蝕刻製程。此外,腔室101可在自約1毫托(mT)延伸至約100 mT的壓力範圍之內的減壓狀態加以操作。
電漿處理系統100亦包含TCP(變壓器耦合電漿)線圈109,該TCP線圈109係在腔室101外部之介電窗107上方加以設置。TCP線圈109係加以連接,自TCP RF電源供應器121經由TCP匹配電路123接收RF功率。具體而言,TCP RF電源供應器121係在參考接地電位125及TCP匹配電路123之間加以電連接,如電連線127A及127B所示。TCP匹配電路123係在TCP RF電源供應器121及TCP線圈109之間加以電連接,如電連線127B及127C所示。TCP匹配電路123係定義以控制到TCP線圈109之RF功率傳輸路徑的阻抗,以便提供對於TCP線圈109之RF功率的高效傳輸。
電漿處理操作期間,處理氣體係流進腔室101,且RF功率係自TCP RF電源供應器121供應至TCP線圈109。通過TCP線圈109的RF功率在腔室101內感應電磁流,該電磁流作用於處理氣體以產生電漿129。以此方式,TCP線圈109表現得如同變壓器的主線圈,而電漿129表現得如同變壓器的副線圈。電漿129包含反應性的成分,諸如自由基及離子(正離子及負離子),其一旦接觸基板105則自基板105移除(即,蝕刻)材料。
應理解當腔室101係安裝在製造設施內時,腔室101係耦接至系統,該等系統設置以將處理氣體供應至腔室101,自腔室101將處理氣體及副產物排出,監控及控制腔室101之內的壓力,監控及控制腔室101之內的溫度,及控制環境粒子。此外,應理解腔室101可耦接至一轉移腔室,該轉移腔室定義以用於將基板105以機器人轉移進入腔室101,且自腔室101將基板105以機器人移出。
在操作期間,RF功率係自偏壓RF電源供應器111,經由偏壓匹配電路113及IEADF控制電路115傳輸至偏壓電極104,以產生及控制存在於基板105上的直流(DC)偏電壓,其從而控制施加在離子群的作用力,該離子群存在於在基板105上方產生的電漿129之內。傳輸至偏壓電極104的RF功率對應於施加至偏壓電極104的RF偏電壓。由於自偏壓RF電源供應器111經由偏壓匹配電路113及IEADF控制電路115施加RF功率至偏壓電極104,所以基板105上建立的DC偏電壓及施加於偏壓電極104上的RF偏電壓兩者同時產生。因此,在偏壓RF電源供應器之內的IEADF控制電路115影響在基板105上的DC偏電壓及在偏壓電極104上的RF偏電壓兩者。
DC偏電壓係在基板105上的一特定點之平均離子能量的表徵。當基板105的充電發生時,DC偏電壓係在基板105上建立。IEADF控制電路115控制DC偏電壓在脈衝偏壓RF供電模式下發展的速率。在連續波(CW)偏壓RF供電模式下,基板105上的DC偏電壓係允許達到穩定狀態。因此,在CW偏壓RF供電模式下,IEADF控制電路115對基板105上DC偏電壓的效應係不相關的。此外,應理解在電漿129鞘邊緣(在偏壓匹配電路113及ESC 103內的所有電容層之後)所見的RF波形將由IEADF控制電路115加以控制。此外,當離子朝基板105加速時,離子回應在電漿129鞘邊緣的RF波形以相對應地依IEADF加以分布。
在各種實施例中,RF電源供應器111可定義以包含單一RF產生器或多個RF產生器。此外,RF電源供應器111可定義以產生一個以上頻率的RF功率。又,在多個RF產生器的情況下,RF電源供應器111可用同時的方式以多個頻率產生RF功率。偏壓匹配電路113係定義以控制到偏壓電極104之RF功率傳輸路徑的阻抗,以便提供到偏壓電極104之RF功率的高效傳輸。
腔室101利用由RF電源供應器111產生之各種頻率的RF偏壓功率,產生及控制於電漿處理操作期間抵達基板105之曝露表面的高能離子群。取決於執行之特定蝕刻應用,控制抵達基板105之各種能量之離子群的分率及相關的離子能量與角分布函數(IEADF)可為重要的。偏壓RF電源供應器111的脈衝(即,偏壓脈衝)可用以在基板105產生IEADF。在與供應之偏壓RF功率的脈衝重複頻率(PRF)及脈衝工作週期(D.C.)相關的一段時間內,偏壓脈衝操作造成各種能量的離子群朝基板105遷移。然而,在偏壓脈衝操作期間,亦需要控制基板105所曝露的IEADF以在基板105上獲得特定的電漿處理結果。根據本揭示內容的各種實施例,為了控制基板105所曝露的低能離子及高能離子之群體,IEADF電路115係加以定義及操作以在偏壓脈衝操作期間控制IEADF。
如上所討論,偏壓RF功率係自偏壓RF電源供應器111、經由偏壓匹配電路113、經由IEADF電路115供應至偏壓電極104。從偏壓電極104,該偏壓RF功率係通過電漿129傳送至電接地之腔室101的周圍結構。在偏壓匹配電路113之內的電路元件提供阻抗匹配,以實現偏壓RF功率通過電漿129的高效傳輸。偏壓RF電源供應器111可以連續波偏壓RF電源供應器模式或脈衝偏壓RF電源供應器模式加以操作。
圖2A根據本揭示內容的實施方式概念性地說明靜電夾頭(ESC)及邊緣環的橫剖面,該靜電夾頭(ESC)及邊緣環係在電漿處理腔室之內加以設置。在圖示的實施方式中,ESC 103具有頂部表面130,該頂部表面130係配置以在電漿處理期間支撐基板(未顯示)。亦顯示偏壓電極104,其係設置在ESC 103之頂部表面130的下面。該偏壓電極104接收來自偏壓RF電源供應器111的RF功率。在一些實施方式中,偏壓RF電源供應器111係以13.56 MHz的頻率加以操作,雖然在其他實施方式中,偏壓RF電源供應器111可以適合執行電漿製程之其他頻率加以操作。
該ESC 103具有環形階132,該環形階132定義環形架134。環形架134的高度係低於ESC 103之頂部表面130的高度。邊緣環組件200係至少部分地設置在由環形階132定義的環形架134上方。
在圖示的實施方式中,邊緣環組件200包含環形電極202,該環形電極202自RF源/產生器204接收功率。在一些實施方式中,環形電極202係完全包覆/埋入在邊緣環組件200之內。也就是說,環形電極202沒有表面係在電漿處理期間曝露於腔室環境。在一些實施方式中,環形電極202係定義為由一塊實心導電材料(例如:銅、鋁、導電合金等)組成的單一環形結構。在此實施方式中的環形電極202可具有平坦的環狀結構。
雖然上述實施方式的環形電極202可具有連續的實心環形結構,但應理解在其他實施方式中,環形電極202可具有其他類型的結構,諸如由一些徑向片段組成之非周圍的連續環形結構、具有分佈在各處之孔洞的多孔結構、網狀結構、導電束/帶等的交織結構、定義一些互連元件(例如一些具有互連結構的同心環形元件)的格柵狀結構等。
在一些實施方式中,複數個同心排列的環形電極係嵌入於邊緣環組件200內。這些環形電極的每一者可由相同的RF源/產生器204、或由不同的RF源/產生器加以供電。此外,每個電極的RF功率可分別加以調諧,且可以不同電壓/頻率加以供電。
如上所述,在一實施方式中,偏壓電極104係以13.56 MHz的頻率加以供電。環形電極202可以相同於偏壓電極104的頻率(在此例子中13.56 MHz)供電,或以不同的頻率(例如:0.4 MHz、1 MHz、2 MHz、27 MHz、60 MHz等)供電。此外,施加至偏壓電極104的RF功率可為連續波或脈衝的。當施加至偏壓電極104的RF功率係連續波時,施加至環形電極202的RF功率亦應為連續波。當施加至偏壓電極104的RF功率係脈衝的時候,那麼施加至環形電極202的RF功率可為脈衝的或連續的波。
繼續參照圖2A,亦顯示一邊緣環RF饋送件206,其係被定義在ESC 103之內且穿過ESC 103。邊緣環RF饋送件206係電連接至RF源204,且係配置以自RF源204遞送RF功率至邊緣環組件200,更具體而言,遞送至配置在邊緣環組件200之內的環形電極202。雖然顯示單一邊緣環RF饋送件206,但應理解可能有數個此RF饋送件結構係設置在ESC 103之內。在一些實施方式中,複數個邊緣環RF饋送件結構係關於ESC 103對稱地加以設置。該邊緣環RF饋送件可由絕緣同軸連接器加以定義,該絕緣同軸連接器係配置以提供用於與ESC 103的電隔離。
在一實施方式中,邊緣環RF饋送件206係配置成延伸通過ESC 103及通過在該邊緣環組件200中定義的通孔(進一步描述於下),以連接至環形電極202。
在一實施方式中,邊緣環RF饋送件206延伸至環形架134,於此處該邊緣環RF饋送件206連接至與環形電極202連接的另一連接器。應理解可能有一些邊緣環RF饋送件206,可能同樣地有一些此等對應的連接點。邊緣環RF饋送件206應自ESC 103的其餘部分加以屏蔽,且因此在一些實施方式中,邊緣環RF饋送件206係加以絕緣及/或定義為同軸連接器/電纜。
圖2B-1根據本揭示內容的實施方式說明邊緣環組件200的放大橫剖面圖。在一些實施方式中,邊緣環組件200包含具有頂部表面213的邊緣環210,及位在邊緣環210下方的介電環211。一個部分212係進一步設置在介電環211下方。環形電極202係在邊緣環210及介電環211之間加以設置。
廣義地說,邊緣環210具一有環狀結構,該環狀結構從內徑(參照220)延伸至外徑(參照222)。邊緣環210的內徑可小於或大於基板/晶圓105的直徑。階梯邊緣232係在邊緣環210的內徑處加以定義,且定義從邊緣環210的頂部表面213向下的階梯。階梯邊緣232的下部分233係定義在低於ESC 103之頂部表面130的高度,使得基板105延伸在下部分233上方。在下部分233及頂部表面213之間的高度差定義囊袋深度D1 ,如圖示之實施方式中所示。在一些實施方式中,囊袋深度D1 係在約2.5至3 mm的範圍內。在一些實施方式中,囊袋深度D1 係約2.75 mm。在一些實施方式中,囊袋深度D1 係在約0.7至4.5 mm的範圍內。應理解在各種實施方式中,邊緣環組件200之各種不同的部分可由諸如石英、SiC等的材料構成。
環形電極202係嵌入於邊緣環210的環形結構之內。應理解環形電極202的尺寸可在不同的實施方式中加以變化。根據圖示實施例的一些實施方式,環形電極202具有約5至28毫米(mm)的徑向寬度(W1 )(從電極的內徑至電極的外徑之徑向距離)。在一些實施方式中,環形電極202具有約10至20 mm的徑向寬度(W1 )。在一些實施方式中,環形電極202具有約10至15 mm的徑向寬度(W1 )。在各種實施方式中,環形電極202的內徑226可等於或大於邊緣環210的內徑220。在各種實施方式中,環形電極202的外徑228可等於或小於邊緣環210的外徑222。因此,環形電極202的徑向寬度W1 可小於或等於邊緣環210的徑向寬度W2
在一些實施方式中,環形電極202係定義為具有至少約10至15 mm的最小徑向寬度(W1 )。最小徑向寬度可加以定義以提供在邊緣環210上方之電漿鞘之延伸的期望位準。
在一些實施方式中,環形電極202具有約0.5 mm至10 mm的厚度。在一些實施方式中,環形電極202具有約0.75 mm至5 mm的厚度。在一些實施方式中,環形電極202具有約2至3 mm的厚度。在圖示的實施方式中,環形電極202可具有等於邊緣環之內徑(參照220)的最小內徑,且環形電極202可具有等於邊緣環之外徑(參照222)的最大外徑。
此外,在各種實施方式中,環形電極202之頂部表面的高度可為等於、高於、或低於ESC 103之頂部表面130的高度。在一些實施方式中,環形電極202之頂部表面的高度係配置成在ESC 103之頂部表面130的高度之上或之下0至5 mm。在其他實施方式中,環形電極202之頂部表面的高度可參照基板(當配置在ESC 103的頂部表面130上時)的頂部表面加以定義,且環形電極202之頂部表面的高度可配置成等於、高於、或低於基板105之頂部表面的高度。在一些實施方式中,環形電極202之頂部表面的高度位在邊緣環210之頂部表面213下方的深度D2 之處。在一些實施方式中,電極深度D2 係在約3至6 mm的範圍內。在一些實施方式中,電極深度D2 係在約4至5 mm的範圍內。在一些實施方式中,電極深度D2 係4.5 mm。
環形電極202的一部分可在邊緣環210的階梯邊緣232下方加以配置。因此,環形電極202的一部分亦可在基板105之其中一部分的下方加以配置。在這樣的實施方式中,環形電極202的內徑(參照226)係小於基板105的直徑(參照224),使得徑向重疊(ROL)存在於環形電極202與基板105之間。在一些實施方式中,在環形電極202與基板105之間的ROL係在0至3 mm的範圍內。在其他實施方式中,ROL的最小值係定義為在約0至3 mm的範圍內。
一個相關尺寸係環形電極202超出基板直徑(參照224)的徑向延伸(RE)。在一些實施方式中,RE係在5至25 mm的範圍內。在其他實施方式中,RE係定義為具有在約5至10 mm範圍內的最小值。
在一些實施方式中,環形電極202係連接至連接器209,該連接器209終止於接頭208,該接頭208係沿著介電環211的下表面216加以設置。應理解介電環211的下表面216係配置成位在腔室101內的ESC 103之環形架134上方。連接器209可配置成自環形電極202向下延伸至在下表面216的接頭208。接頭208與相對應的接頭207配對,該接頭207係沿著ESC 103的環形架134加以設置,且其終止於邊緣環RF饋送件206。連接器209及邊緣環RF饋送件206兩者可定義為絕緣同軸連接器。應理解接頭207及208可同樣地定義為同軸接頭,該同軸接頭提供內導體與連接器206及209各自的片狀屏蔽導體的配對。接頭可由提供RF功率之高傳導性的任何材料(包含銅、鋁、銀、金等)加以定義。接頭可定義為提供向外的壓力,以確保在接頭之間合適的表面對表面之接觸。例如,當不與另一接頭介接時,接頭可展現從表面(例如:環形架134或下表面216)的突出部。
圖2B-2根據本揭示內容的實施方式說明ESC及邊緣環組件的剖視圖。在圖示的實施方式中,邊緣環RF饋送件206係一連接器,該連接器係設置成穿過ESC 103,且延伸穿過ESC 103的環形架134。邊緣環RF饋送件206進一步延伸穿過在介電環211中定義的通孔236,以連接至環形電極202。
圖2C根據本揭示內容的實施方式說明邊緣環組件及ESC的橫剖面。在圖示的實施方式中,邊緣環組件200包含邊緣環210及介電環211。環形電極202係設置在邊緣環210及介電環211之間,該環形電極202延伸邊緣環組件200的整個徑向寬度。
圖2D根據本揭示內容的實施方式說明邊緣環組件及ESC的橫剖面。在圖示的實施方式中,邊緣環組件200包含邊緣環210及介電環211。環形電極202係設置在邊緣環210及介電環211之間,該環形電極202從邊緣環210的內徑延伸,但具有小於該邊緣環組件200之整個徑向寬度的一徑向寬度。
圖2E根據本揭示內容的實施方式說明邊緣環組件的橫剖面,該邊緣環組件具有複數個同心電極內嵌於其中。在圖示的實施方式中,複數個同心環形電極240、242、及244係在邊緣環組件200之內加以定義。該同心環形電極240、242、及244係藉由介電區域241及243加以分隔開。同心環形電極240係藉由連接器246連接至接頭247;同心環形電極242係藉由連接器248連接至接頭249;及同心環形電極244係經由連接器250連接至接頭251。
RF源262藉由RF饋送件261將RF功率供應至同心環形電極240,該RF饋送件261終止於與接頭247配對的接頭260。RF源265藉由RF饋送件264將RF功率供應至同心環形電極242,該RF饋送件264終止於與接頭249配對的接頭263。RF源268藉由RF饋送件267將RF功率供應至同心環形電極244,該RF饋送件267終止於與接頭251配對的接頭266。應理解供應至同心環形電極240、242、及244之每一者的RF功率可分別加以調諧,以在基板105之邊緣區域達到期望的電漿鞘特性及蝕刻特性。
圖3A根據本揭示內容的實施方式概念性地說明於電漿處理操作期間產生的電漿鞘。廣義地說,由於施加至ESC 103的偏電壓,基板105的頂部表面係帶負電。因此,定義具有增加的正離子密度的層之電漿鞘300在基板105的頂部表面實質上方上加以產生。然而,邊緣環組件200之曝露的表面可為帶正電,且因此電漿鞘300不顯著延伸超出基板105的邊緣。在基板邊緣之電漿鞘的不連續性,導致沿基板105邊緣之頂部表面的反應性離子軌跡及密度顯著地不同於電漿鞘係連續且一致形成之較中央區域的軌跡及密度。
圖3B根據本揭示內容的實施方式概念性地說明於電漿處理操作期間產生的電漿鞘。在圖示的實施方式中,邊緣環組件200包含環形電極202,RF功率係施加至該環形電極202。此導致邊緣環組件200之曝露的上表面呈現負電荷,其將電漿鞘302徑向地向外延伸,以便在邊緣環組件200實質上方的一個區域中加以形成。電漿鞘302之徑向延伸,相對於基板105之頂部表面的法線提供降低的離子聚焦及較少的離子軌跡傾斜。
圖4A、4B、4C、4D、及4E根據本揭示內容的實施方式說明經歷電漿處理之基板的邊緣區域之橫剖面圖。圖4A-4E說明由於以各種電壓將RF功率施加至環形電極202造成的電壓梯度及離子軌跡(顯示離子通量線)。針對圖4A-4E的每一者,電漿係以下列條件加以產生:10 mT的壓力,900 W(瓦特)的感應線圈功率,200 sccm(標準立方厘米)之氬(Ar)的氣體流量,及以10 MHz的頻率施加至偏壓電極104之200 V(伏特)的RF偏壓。RF電壓係以2 MHz的頻率施加至環形電極202。
圖4A及圖4B說明當0 V(無電壓)及100 V係分別施加至環形電極202的結果。在此兩種情況下,在基板105的邊緣區域有顯著的離子聚焦,以及在相對於基板105之頂部表面的法線於邊緣區域有顯著的離子軌跡的傾斜(朝晶圓的邊緣徑向地向內傾斜)。如圖4C所示,當300 V係施加至環形電極202時,離子聚焦係減少,且徑向地向內之離子軌跡的傾斜係減少。如圖4D所示,當500 V係施加至環形電極202時,離子聚焦及離子軌跡傾斜甚至係進一步減少。如圖4E所示,當700 V係施加至環形電極202時,在該邊緣區域的離子軌跡已開始將其傾斜反向,即,向外地徑向傾斜且遠離基板邊緣區域。此外,離子聚焦係完全消除,且事實上,離子擴散在基板邊緣區域係被觀察到。
圖5A根據圖4A-4E的實施方式說明Ar離子通量相對於沿基板的頂部表面之徑向位置的圖。如上所述,各種電壓係施加至環形電極202,且相對應之Ar離子通量的圖係加以顯示。如圖所示,當施加100 V、300 V、500 V、或700 V的電壓時,對於從0至約14厘米之徑向位置的Ar離子通量係很大程度地類似。
圖5B說明Ar離子通量相對於沿基板之徑向位置的圖,針對在約13-15 厘米範圍內的徑向位置(接近300 mm直徑之基板的邊緣)。如圖所示,當施加0 V(參照500)、100 V(參照502)、及300 V(參照504)的電壓時,Ar離子通量隨徑向位置靠近基板的極限邊緣(接近15厘米)而增加。然而,當施加500 V(參照506)及700 V(參照508)的電壓時,Ar離子通量隨徑向位置靠近極限基板邊緣(接近15厘米)而降低。
圖6根據本揭示內容的實施方式針對施加至環形電極的不同電壓,說明標準化的蝕刻速率相對於沿300 mm晶圓之徑向位置的圖。電漿處理係在Kiyo EX/FX腔室(由Lam Research Corporation製造)中在毯覆晶圓(blanket wafer)上以下列條件加以執行:40 mT的壓力,900 W(瓦特)的感應線圈(TCP)功率(在1.3之TCCT的比例下),450 sccm(標準立方厘米)之HBr的氣體流量,4 sccm的O2 ,及50 sccm的He,60o C的ESC溫度,以13.56 MHz的頻率施加至偏壓電極104之450 V(伏特)的RF偏壓,及以2 MHz的頻率施加至環形電極202的RF電壓。
曲線600說明當0 V係施加至環形電極時的蝕刻速率。如圖所示,蝕刻速率在超過約135毫米之徑向位置的邊緣區域中急劇上升。曲線602說明當400 V係施加至環形電極時的蝕刻速率。如圖所示,蝕刻速率在該邊緣區域中仍呈現顯著的增加。曲線604說明當560 V係施加至環形電極時的蝕刻速率。如圖所示,蝕刻速率在該邊緣區域中係相當一致。曲線606說明當700 V係施加至環形電極時的蝕刻速率。如圖所示,蝕刻速率現在在該邊緣區域中降低。顯示的實驗結果表明施加至環形電極的電壓如何被調諧以達到期望的蝕刻速率分布,以例如提升整個晶圓的均勻性。
圖7根據本揭示內容的實施方式說明邊緣環及基座/ESC。在圖示的實施方式中,邊緣環組件200的底側係顯示以包含電極接頭208,其係配置以接收RF功率,該RF功率係經由在ESC 103中定義的邊緣環RF饋送件206加以傳輸。電極接頭208如上所述將RF功率傳輸至在邊緣環組件200之內定義的環形電極。應理解在ESC 103中的邊緣環RF饋送件206係例如藉由周圍的絕緣鞘、以及藉由同軸構造而與ESC 103電隔離。邊緣環RF饋送件206延伸至環形架表面134,且終止於環形架表面134以定義饋送接頭207,該接頭207係配置以與邊緣環組件200的電極接頭208配對。該邊緣環RF饋送件206自RF源204接收RF功率。
在圖示的實施方式中,四個電極接頭208係關於邊緣環組件200對稱地分佈,且四個相對應的饋送接頭係關於環形架表面134對稱地分佈。然而,在其他實施方式中,可能有少於四個接頭或多於四個接頭。接頭的特定尺寸及形狀可加以變化。
在又其他的實施方式中,邊緣環RF饋送件可加以定義使得橫向(徑向)地延伸遠離ESC,且可能延伸通過腔室的側壁。
圖8根據本揭示內容的實施方式概念性地說明靜電夾頭(ESC)及邊緣環的橫剖面,該靜電夾頭(ESC)及邊緣環係在電漿處理腔室之內加以設置。在圖示的實施方式中,ESC 103具有頂部表面130,該頂部表面130係配置以在電漿處理期間支撐基板(未顯示)。亦顯示偏壓電極104,其係設置在ESC 103之頂部表面130的下面。偏壓電極104接收來自偏壓RF電源供應器111的RF功率。在本實施方式中,至少ESC 103的上部分已橫向/徑向地延伸以容納ESC環形電極800。ESC環形電極800係以大於偏壓電極104之半徑的徑向距離加以定義,以便圍繞偏壓電極104。ESC環形電極800接收來自RF源802經由RF饋送件804的RF功率。供應至環形電極800之RF功率的特性可加以調諧,以在晶圓邊緣區域提供期望的蝕刻特性(例如RF功率特性,包含電壓、頻率、相對於偏電壓的相位、連續波/脈衝)。
圖9根據本揭示內容的實施方式概念性地說明靜電夾頭(ESC)及邊緣環的橫剖面,該靜電夾頭(ESC)及邊緣環係在電漿處理腔室之內加以設置。在圖示的實施方式中,邊緣環環形電極202(如參照圖2A所討論)及ESC環形電極800(如參照圖8所討論)兩者係被包含在系統內。ESC環形電極800與邊緣環環形電極202接收來自RF源900分別經由RF饋送件804及206的RF功率。在另一實施方式中,ESC環形電極800及邊緣環環形電極202的每一者係由可獨立調諧之獨立的RF功率源加以供電。供應至環形電極800及邊緣環環形電極202之RF功率的特性可加以調諧,以在晶圓邊緣區域提供期望的蝕刻特性(例如RF功率特性,包含電壓、頻率、相對於偏電壓的相位、連續波/脈衝)。
圖10根據本揭示內容的實施方式說明在施加至ESC的功率及施加至環形電極的功率之間的各種相位差的結果。圖示的橫剖面示意圖顯示如標示之各種相角差的離子通量。該製程參數如下:10 mT的壓力,900W的TCP,200 sccm的Ar,以10 MHz施加至ESC主電極的200 V,以10 MHz施加至環形電極的300 V。如圖所示,相位角的變化影響電位的地形,且因此影響離子通量的分布及角度。因此,在施加至ESC的RF功率與施加至環形電極的RF功率之間的相位差可代表另一可調諧的參數。
圖11A根據本揭示內容的實施方式說明邊緣環組件的剖視圖。夾在邊緣環210及介電環211之間的係環形電極202。環形電極202進一步包含徑向延伸部1100,該徑向延伸部作為接頭,RF功率係通過該接頭遞送至環形電極202。
圖11B及11C根據本揭示內容的實施方式說明電漿處理腔室之較低碗部的內部視圖。徑向延伸部1100(在視圖中隱藏)係由防止電弧的絕緣體外罩1102加以覆蓋。同軸RF饋送件1104通過通孔1106加以設置,該通孔1106係在腔室的側壁1108中加以定義。同軸RF饋送件1104連接至環形電極202的徑向延伸部1100,且遞送來自RF功率源的RF功率。雖然單一徑向延伸部係加以顯示,但應理解可能有數個徑向延伸部,該等徑向延伸部定義用於環形電極的接頭,而且此外,該等徑向延伸部可在環形電極周圍對稱地分布。例如在一實施方式中,環形電極202包含四個徑向延伸部,其係在環形電極202周圍對稱地分布,該四個徑向延伸部的每一者連接至相對應的同軸RF饋送件。在另一實施方式中,用於環形電極的同軸RF饋送件可從腔室底下而非通過腔室的側面加以佈置。
圖12A根據本揭示內容的實施方式說明邊緣環組件的橫剖面。該邊緣環組件如圖所示包含石英頂環及石英底環。該石英頂環之頂部表面的高度可加以變化,以影響在基板邊緣的蝕刻速率,如參照圖13討論於下。
圖12B根據本揭示內容的實施方式說明邊緣環組件的橫剖面。該邊緣環組件如圖所示包含石英頂環及石英底環,該石英頂環具有電極設置於其中。該石英頂環之頂部表面的高度可加以變化,以影響在基板邊緣的蝕刻速率,如參照圖13討論於下。
圖13根據本揭示內容的實施方式說明用於HBr電漿製程的蝕刻速率輪廓。在說明的圖中,曲線1300說明用於具有2.74厘米(0.108吋)囊袋深度之標準、完整的石英邊緣環之標準化的蝕刻速率。曲線1302說明用於具有4.01厘米(0.158吋)囊袋深度之標準、完整的石英邊緣環之標準化的蝕刻速率。如圖所示,增加囊袋深度在基板邊緣區域具有降低蝕刻速率的效果。曲線1304說明用於邊緣環組件之標準化的蝕刻速率,其中該石英頂環包含不加以供電的電極(0 W)。曲線1306說明用於邊緣環組件之標準化的蝕刻速率,其中該石英頂環包含以250 W加以供電的電極。如圖所示,與未供電的結果相比較,供電的電極在邊緣區域中降低蝕刻速率。
圖14顯示用於控制上述系統的控制模組1400。例如,控制模組1400可包含處理器、記憶體、及一個以上介面。控制模組1400可用以部分基於感測值控制系統中的裝置。僅作為範例,控制模組1400可基於感測值及其他控制參數控制一個以上的閥1402、過濾加熱器1404、幫浦1406、及其他裝置1408。僅作為範例,控制模組1400從壓力計1410、流量計1412、溫度感測器1414、及/或其他感測器1416接收感測值。控制模組1400亦可用以在前驅物遞送及電漿處理期間控制製程條件。控制模組1400一般包含一個以上記憶體裝置及一個以上處理器。
控制模組1400可控制前驅物遞送系統及電漿處理設備的活動。控制模組1400執行包含用於控制下述之指令集的電腦程式:處理時序、遞送系統的溫度、橫跨過濾器的壓差、閥的位置、氣體的混合、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓ESC或基座位置、及特定製程的其他參數。控制模組1400亦可監控壓差,並自動地將氣態前驅物的遞送從一個以上路徑切換至一個以上的其他路徑。儲存在關於控制模組1400之記憶體裝置的其他電腦程式可在一些實施例中加以使用。
通常有關於控制模組1400的使用者介面。該使用者介面可包含顯示器1418(例如:設備及/或製程條件的顯示螢幕及/或圖形軟體顯示器)、及使用者輸入裝置1420(諸如指向裝置、鍵盤、觸控螢幕、麥克風等)。
在製程序列中控制前驅物的遞送、電漿處理及其他製程的電腦程式可以任何傳統的電腦可讀程式設計語言撰寫:例如,組合語言、C、C++、巴斯卡(Pascal)、福傳(Fortran)、或其他。編譯的目的碼或腳本係由處理器實行以執行在程式中所確定的任務。
該控制模組參數與製程條件相關,例如:過濾器的壓差、處理氣體成分及流率、溫度、壓力、電漿條件(諸如RF功率位準及低頻之RF頻率)、冷卻氣體壓力、及腔室壁溫度。
系統軟體可以許多不同的方式設計或配置。例如:各種腔室元件的副程式(subroutine)或控制物件可被撰寫,以控制執行本發明之沉積製程必須的腔室元件之操作。為了此目的之程式或程式部分的例子包含基板定位碼、處理氣體控制碼、壓力控制碼、加熱器控制碼、及電漿控制碼。
基板定位程式可包含控制腔室元件的程式碼,用以裝載基板到基座或ESC之上,及用以控制在基板及腔室其他部分(諸如進氣口及/或目標物)之間的間距。處理氣體控制程式可包含程式碼,用於控制氣體成分和流率,及選用性地用於在沉積之前流動氣體進入腔室以使腔室內的氣壓穩定。過濾器監控程式包含將測量的壓差與預定的數值相比較的程式碼,及/或用於轉換路徑的程式碼。壓力控制程式可包含程式碼,用於藉由調節如腔室排氣系統內的節流閥而控制腔室內的壓力。加熱器控制程式可包含控制電流流至加熱單元的程式碼,用於加熱在前驅物遞送系統的元件、系統的基板及/或其他部分。或者,該加熱器控制程式可控制諸如氦的加熱轉移氣體遞送至晶圓ESC。
可在處理期間加以監控之感測器的例子包含但不限於質流控制模組、壓力感測器(諸如壓力計1410)、及位在遞送系統、基座或ESC內的熱偶(例如溫度感測器1414)。適當編程的反饋及控制演算法可與來自這些感測器的資料一起使用以維持期望的製程條件。以上描述本揭示內容的實施例在單一或多腔室半導體處理工具中的實施方式。
上述實施例的描述係提供為說明及描述的目的。其係非意欲為氧盡的或限制本揭示內容。特定實施例的個別元件或特徵係通常不限於該特定的實施例,但在合適的情況下,即使未特別顯示或說明,係可互換的且可在所選定的實施例中加以使用。此等變化係非被視為背離本揭示內容,且所有此等修改係意圖被包含在本揭示內容的範圍之內。
雖然上述實施例為了清楚理解的目的已以一些細節描述,但顯然地,某些改變與修飾可在隨附申請專利範圍之範疇內加以實施。因此,本發明實施例係被視為說明性而非限制性,且該等實施例係非限制於此處提供的細節,但可在申請專利範圍的範疇及等同物之內加以修改。
100‧‧‧電漿處理系統
101‧‧‧腔室
103‧‧‧ESC
104‧‧‧偏壓電極
105‧‧‧基板
107‧‧‧介電窗
109‧‧‧TCP線圈
111‧‧‧RF電源供應器
113‧‧‧偏壓匹配電路
115‧‧‧IEADF控制電路
117‧‧‧參考接地電位
119A‧‧‧電連線
119B‧‧‧電連線
119C‧‧‧電連線
121‧‧‧TCP RF電源供應器
123‧‧‧TCP匹配電路
125‧‧‧參考接地電位
127A‧‧‧電連線
127B‧‧‧電連線
127C‧‧‧電連線
129‧‧‧電漿
130‧‧‧頂部表面
132‧‧‧環形階
134‧‧‧環形架(表面)
200‧‧‧邊緣環組件
202‧‧‧環形電極
204‧‧‧RF源/產生器
206‧‧‧RF饋送件
207‧‧‧接頭
208‧‧‧接頭
209‧‧‧連接器
210‧‧‧邊緣環
211‧‧‧介電環
212‧‧‧部分
213‧‧‧頂部表面
216‧‧‧下表面
220‧‧‧內徑
222‧‧‧外徑
224‧‧‧直徑
226‧‧‧內徑
228‧‧‧外徑
232‧‧‧階梯邊緣
233‧‧‧下部分
236‧‧‧通孔
240‧‧‧同心環形電極
241‧‧‧介電區域
242‧‧‧同心環形電極
243‧‧‧介電區域
244‧‧‧同心環形電極
246‧‧‧連接器
247‧‧‧接頭
248‧‧‧連接器
249‧‧‧接頭
250‧‧‧連接器
251‧‧‧接頭
260‧‧‧接頭
261‧‧‧RF饋送件
262‧‧‧RF源
263‧‧‧接頭
264‧‧‧RF饋送件
265‧‧‧RF源
266‧‧‧接頭
267‧‧‧RF饋送件
268‧‧‧RF源
300‧‧‧電漿鞘
600‧‧‧曲線
602‧‧‧曲線
604‧‧‧曲線
606‧‧‧曲線
800‧‧‧環形電極
802‧‧‧RF源
804‧‧‧RF饋送件
900‧‧‧RF源
1100‧‧‧徑向延伸部
1102‧‧‧絕緣體外罩
1104‧‧‧同軸RF饋送件
1106‧‧‧通孔
1108‧‧‧側壁
1300‧‧‧曲線
1302‧‧‧曲線
1304‧‧‧曲線
1306‧‧‧曲線
1400‧‧‧控制模組
1402‧‧‧閥
1404‧‧‧過濾加熱器
1406‧‧‧幫浦
1408‧‧‧其他裝置
1410‧‧‧壓力計
1412‧‧‧流量計
1414‧‧‧溫度感測器
1416‧‧‧其他感測器
1418‧‧‧顯示器
1420‧‧‧輸入裝置
圖1A根據本揭示內容的一實施例顯示用於蝕刻操作之電漿處理系統100的架構圖。
圖1B根據本揭示內容的一實施例顯示電漿處理系統100的設計圖。
圖2A根據本揭示內容的實施方式概念性地說明靜電夾頭(ESC)及邊緣環組件的橫剖面,該靜電夾頭(ESC)及邊緣環組件係在電漿處理腔室之內加以設置。
圖2B-1根據本揭示內容的實施方式說明ESC及邊緣環組件的放大橫剖面圖。
圖2B-2根據本揭示內容的實施方式說明ESC及邊緣環組件的剖視圖。
圖2C根據本揭示內容的實施方式說明邊緣環及ESC的橫剖面。
圖2D根據本揭示內容的實施方式說明邊緣環及ESC的橫剖面。
圖2E根據本揭示內容的實施方式說明邊緣環的橫剖面,該邊緣環具有複數個同心電極內嵌於其中。
圖3A根據本揭示內容的實施方式概念性地說明於電漿處理操作期間產生的電漿鞘。
圖3B根據本揭示內容的實施方式概念性地說明於電漿處理操作期間產生的電漿鞘。
圖4A、4B、4C、4D、及4E根據本揭示內容的實施方式說明經歷電漿處理之基板的邊緣區域之橫剖面圖。
圖5A根據圖4A-4E的實施方式說明Ar離子通量相對於沿基板的頂部表面之徑向位置的圖。
圖5B根據圖4A-4E的實施方式說明Ar離子通量相對於沿基板之徑向位置的圖,針對在約13-15 厘米範圍內的徑向位置(接近300 mm直徑之基板的邊緣)。
圖6根據本揭示內容的實施方式針對施加至邊緣環之環形電極的不同電壓,說明標準化的蝕刻速率相對於沿300 mm晶圓之徑向位置的圖。
圖7根據本揭示內容的實施方式說明邊緣環及基座/ESC。
圖8根據本揭示內容的實施方式概念性地說明靜電夾頭(ESC)及邊緣環的橫剖面,該靜電夾頭(ESC)及邊緣環係在電漿處理腔室之內加以設置。
圖9根據本揭示內容的實施方式概念性地說明靜電夾頭(ESC)及邊緣環的橫剖面,該靜電夾頭(ESC)及邊緣環係在電漿處理腔室之內加以設置。
圖10根據本揭示內容的實施方式說明在施加至ESC的功率及施加至環形電極的功率之間的各種相位差的結果。
圖11A根據本揭示內容的實施方式說明邊緣環組件的剖視圖。
圖11B及11C根據本揭示內容的實施方式說明電漿處理腔室之較低碗部的內部視圖。
圖12A根據本揭示內容的實施方式說明邊緣環組件的橫剖面。
圖12B根據本揭示內容的實施方式說明邊緣環組件的橫剖面。
圖13根據本揭示內容的實施方式說明用於HBr電漿製程的蝕刻速率輪廓。
圖14顯示用於控制本揭示內容之系統的控制模組1400。
103‧‧‧ESC
105‧‧‧基板
130‧‧‧頂部表面
132‧‧‧環形階
134‧‧‧環形架(表面)
200‧‧‧邊緣環組件
202‧‧‧環形電極
206‧‧‧RF饋送件
207‧‧‧接頭
208‧‧‧接頭
209‧‧‧連接器
210‧‧‧邊緣環
211‧‧‧介電環
212‧‧‧部分
213‧‧‧頂部表面
216‧‧‧下表面
220‧‧‧內徑
222‧‧‧外徑
224‧‧‧直徑
226‧‧‧內徑
228‧‧‧外徑
232‧‧‧階梯邊緣
233‧‧‧下部分

Claims (21)

  1. 一種用於電漿處理腔室的邊緣環組件,包含: 一邊緣環,配置成環繞一靜電夾頭(ESC),該靜電夾頭係配置成電連接至一第一RF電源供應器,該ESC具有用於支撐一基板的一頂部表面、及環繞該頂部表面的一環形階,該環形階定義低於該頂部表面的一環形架; 一環形電極,在該邊緣環下方及該環形架上方加以設置; 一介電環,設置在該環形電極下方,用於將該環形電極與該ESC隔離,該介電環係位在該環形架上方;以及 複數絕緣的連接器,配置成通過該ESC且通過該介電環,該複數絕緣的連接器之每一者在一第二RF電源供應器及該環形電極之間提供電連接。
  2. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該複數絕緣的連接器之每一者係由一同軸連接器加以定義。
  3. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該複數絕緣的連接器係配置成將該第二RF電源供應器與該第一RF電源供應器隔離。
  4. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該邊緣環具有一頂部表面,該邊緣環的頂部表面具有在該邊緣環的內徑加以定義的一階梯邊緣,該階梯邊緣的一下部分係配置成位在比該ESC的頂部表面低的一高度,使得當基板存在時,該基板延伸在該階梯邊緣的下部分上方。
  5. 如申請專利範圍第4項之用於電漿處理腔室的邊緣環組件,其中,該環形電極的至少一部分係在該邊緣環的階梯邊緣下方加以設置。
  6. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,在電漿處理期間施加RF功率至該環形電極的操作導致在該電漿處理期間形成的一電漿鞘在一空間區域中徑向地延伸,該空間區域係實質界定在該邊緣環上方。
  7. 如申請專利範圍第6項之用於電漿處理腔室的邊緣環組件,其中,在電漿處理期間施加RF功率至該環形電極的該操作降低在該基板之一邊緣區域的離子聚焦。
  8. 如申請專利範圍第7項之用於電漿處理腔室的邊緣環組件,其中,在電漿處理期間施加RF功率至該環形電極的該操作,在該基板的邊緣區域處減少從該基板的頂部表面之法線遠離之離子軌跡傾斜。
  9. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該環形電極具有約5至28厘米的徑向寬度。
  10. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該環形電極具有約0.5 mm至5厘米的厚度。
  11. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該環形電極係由複數同心電極加以定義,該複數同心電極的每一者係電連接至該第二RF電源供應器。
  12. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該環形電極係由一導電材料的網狀結構加以定義。
  13. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該環形電極係與該邊緣環整合。
  14. 如申請專利範圍第1項之用於電漿處理腔室的邊緣環組件,其中,該環形電極具有至少與該邊緣環之徑向寬度同寬的徑向寬度。
  15. 一種用於電漿處理的系統,包含: 一處理腔室; 一靜電夾頭(ESC),在該處理腔室內加以設置,該ESC具有一頂部表面,該頂部表面係配置成用以在電漿處理期間支撐一基板,該ESC進一步包含環繞該頂部表面的一環形階,該環形階定義位在低於該頂部表面之高度的一環形架,該環形架配置成容納一邊緣環組件,該邊緣環組件包含配置成環繞該ESC的一邊緣環、設置在該邊緣環下方的一環形電極、及設置在該環形電極下方且在該環形架上方的一介電環; 一偏壓電極,在該ESC之內加以設置,該偏壓電極配置成接收來自一第一RF電源供應器的RF功率以在該基板上產生一偏電壓; 複數絕緣的連接器,配置成通過該ESC,該複數絕緣的連接器配置成通過該介電環,該複數絕緣的連接器之每一者配置成在一第二RF電源供應器及該環形電極之間提供電連接。
  16. 如申請專利範圍第15項之用於電漿處理的系統,其中,該複數絕緣的連接器之每一者係由一同軸連接器加以定義。
  17. 如申請專利範圍第15項之用於電漿處理的系統,其中,該複數絕緣的連接器係配置成將該第二RF電源供應器與該第一RF電源供應器隔離。
  18. 一種用於電漿處理的系統,包含: 一處理腔室; 一靜電夾頭(ESC),在該處理腔室內加以設置,該ESC具有一頂部表面,該頂部表面係配置成在電漿處理期間支撐一基板,該ESC進一步包含環繞該頂部表面的一環形階,該環形階定義位在低於該頂部表面之高度的一環形架,該環形架配置成容納一邊緣環組件,該邊緣環組件包含配置成環繞該ESC的一邊緣環,該邊緣環組件進一步包含一介電環; 一偏壓電極,在該ESC之內加以設置,該偏壓電極配置成接收來自一第一RF電源供應器的RF功率以在該基板上產生一偏電壓; 一環形電極,在該ESC之內加以設置,該環形電極係在該ESC之頂部表面的周圍區域下方加以設置;以及 複數絕緣的連接器,設置成通過該ESC,該複數絕緣的連接器之每一者配置成在一第二RF電源供應器及該環形電極之間提供電連接; 其中,該介電環係在該環形電極下方及該環形架上方加以設置。
  19. 如申請專利範圍第18項之用於電漿處理的系統,其中,該環形電極及該複數絕緣的連接器係配置成將該第二RF電源供應器與該第一RF電源供應器隔離。
  20. 如申請專利範圍第18項之用於電漿處理的系統,其中,該環形電極的第一部分係配置成當基板存在於該ESC之頂部表面上時位在該基板下方,且其中,該環形電極的第二部分徑向地延伸超出該基板的直徑。
  21. 如申請專利範圍第18項之用於電漿處理的系統,其中,該第一RF電源供應器及該第二RF電源供應器係配置成以預定義的相角差提供各自的RF功率。
TW105107509A 2015-07-13 2016-03-11 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整 TWI697951B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201562191817P 2015-07-13 2015-07-13
US62/191,817 2015-07-13

Publications (2)

Publication Number Publication Date
TW201705266A true TW201705266A (zh) 2017-02-01
TWI697951B TWI697951B (zh) 2020-07-01

Family

ID=57776368

Family Applications (3)

Application Number Title Priority Date Filing Date
TW109116969A TWI753436B (zh) 2015-07-13 2016-03-11 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
TW105107509A TWI697951B (zh) 2015-07-13 2016-03-11 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整
TW110147954A TWI810752B (zh) 2015-07-13 2016-03-11 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW109116969A TWI753436B (zh) 2015-07-13 2016-03-11 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW110147954A TWI810752B (zh) 2015-07-13 2016-03-11 透過邊緣局部的離子軌跡控制與電漿操作之極限邊緣鞘及晶圓輪廓調整

Country Status (6)

Country Link
US (1) US10163610B2 (zh)
JP (2) JP6852974B2 (zh)
KR (2) KR102570642B1 (zh)
CN (1) CN106356274B (zh)
SG (2) SG10202107291WA (zh)
TW (3) TWI753436B (zh)

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
US9873180B2 (en) 2014-10-17 2018-01-23 Applied Materials, Inc. CMP pad construction with composite material properties using additive manufacturing processes
US11745302B2 (en) 2014-10-17 2023-09-05 Applied Materials, Inc. Methods and precursor formulations for forming advanced polishing pads by use of an additive manufacturing process
SG10202002601QA (en) 2014-10-17 2020-05-28 Applied Materials Inc Cmp pad construction with composite material properties using additive manufacturing processes
US10875153B2 (en) 2014-10-17 2020-12-29 Applied Materials, Inc. Advanced polishing pad materials and formulations
JP6346855B2 (ja) * 2014-12-25 2018-06-20 東京エレクトロン株式会社 静電吸着方法及び基板処理装置
USD810705S1 (en) * 2016-04-01 2018-02-20 Veeco Instruments Inc. Self-centering wafer carrier for chemical vapor deposition
US10593574B2 (en) 2015-11-06 2020-03-17 Applied Materials, Inc. Techniques for combining CMP process tracking data with 3D printed CMP consumables
KR101722382B1 (ko) * 2016-01-08 2017-04-03 주식회사 윈텔 플라즈마 처리 장치
US10391605B2 (en) 2016-01-19 2019-08-27 Applied Materials, Inc. Method and apparatus for forming porous advanced polishing pads using an additive manufacturing process
US10685862B2 (en) * 2016-01-22 2020-06-16 Applied Materials, Inc. Controlling the RF amplitude of an edge ring of a capacitively coupled plasma process device
CN108369922B (zh) 2016-01-26 2023-03-21 应用材料公司 晶片边缘环升降解决方案
US10204795B2 (en) 2016-02-04 2019-02-12 Applied Materials, Inc. Flow distribution plate for surface fluorine reduction
US10651015B2 (en) * 2016-02-12 2020-05-12 Lam Research Corporation Variable depth edge ring for etch uniformity control
JP2018006299A (ja) * 2016-07-08 2018-01-11 東芝メモリ株式会社 プラズマ処理装置用処理対象支持台、プラズマ処理装置及びプラズマ処理方法
US9947517B1 (en) 2016-12-16 2018-04-17 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US10553404B2 (en) 2017-02-01 2020-02-04 Applied Materials, Inc. Adjustable extended electrode for edge uniformity control
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
KR20180136302A (ko) * 2017-06-14 2018-12-24 삼성전자주식회사 플라즈마 공정 장치 및 이를 이용한 반도체 장치 제조 방법
JP6826955B2 (ja) * 2017-06-14 2021-02-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US10763081B2 (en) 2017-07-10 2020-09-01 Applied Materials, Inc. Apparatus and methods for manipulating radio frequency power at an edge ring in plasma process device
US11471999B2 (en) 2017-07-26 2022-10-18 Applied Materials, Inc. Integrated abrasive polishing pads and manufacturing methods
US10904996B2 (en) 2017-09-20 2021-01-26 Applied Materials, Inc. Substrate support with electrically floating power supply
US10714372B2 (en) 2017-09-20 2020-07-14 Applied Materials, Inc. System for coupling a voltage to portions of a substrate
US10811296B2 (en) 2017-09-20 2020-10-20 Applied Materials, Inc. Substrate support with dual embedded electrodes
US10763150B2 (en) 2017-09-20 2020-09-01 Applied Materials, Inc. System for coupling a voltage to spatially segmented portions of the wafer with variable voltage
US10510575B2 (en) 2017-09-20 2019-12-17 Applied Materials, Inc. Substrate support with multiple embedded electrodes
US11075105B2 (en) 2017-09-21 2021-07-27 Applied Materials, Inc. In-situ apparatus for semiconductor process module
KR101893035B1 (ko) * 2017-09-27 2018-08-30 비씨엔씨 주식회사 플라즈마 공정 챔버의 커버링 어셈블리
KR101980203B1 (ko) * 2017-10-30 2019-05-21 세메스 주식회사 지지 유닛 및 그를 포함하는 기판 처리 장치
EP4231328A1 (en) 2017-11-17 2023-08-23 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
WO2019099937A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Improved application of modulating supplies in a plasma processing system
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
JP7033441B2 (ja) * 2017-12-01 2022-03-10 東京エレクトロン株式会社 プラズマ処理装置
US11043400B2 (en) 2017-12-21 2021-06-22 Applied Materials, Inc. Movable and removable process kit
US10727075B2 (en) 2017-12-22 2020-07-28 Applied Materials, Inc. Uniform EUV photoresist patterning utilizing pulsed plasma process
CN109994355B (zh) * 2017-12-29 2021-11-02 中微半导体设备(上海)股份有限公司 一种具有低频射频功率分布调节功能的等离子反应器
US11387134B2 (en) * 2018-01-19 2022-07-12 Applied Materials, Inc. Process kit for a substrate support
CN111095523A (zh) * 2018-01-22 2020-05-01 应用材料公司 利用经供电的边缘环的处理
KR102655866B1 (ko) 2018-01-31 2024-04-05 램 리써치 코포레이션 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
KR102024568B1 (ko) * 2018-02-13 2019-09-24 한국기초과학지원연구원 환형 면방전 플라즈마 장치를 이용한 점상 식각 모듈 및 점상 식각 모듈의 식각 프로파일을 제어하는 방법
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US11501953B2 (en) 2018-03-28 2022-11-15 Samsung Electronics Co., Ltd. Plasma processing equipment
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11201037B2 (en) 2018-05-28 2021-12-14 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US10347500B1 (en) 2018-06-04 2019-07-09 Applied Materials, Inc. Device fabrication via pulsed plasma
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
JP2019220497A (ja) 2018-06-15 2019-12-26 東京エレクトロン株式会社 載置台及びプラズマ処理装置
US11183368B2 (en) * 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
JP7299970B2 (ja) 2018-09-04 2023-06-28 アプライド マテリアルズ インコーポレイテッド 改良型研磨パッドのための配合物
JP7140610B2 (ja) * 2018-09-06 2022-09-21 株式会社日立ハイテク プラズマ処理装置
CN109273341B (zh) * 2018-10-18 2021-01-08 北京北方华创微电子装备有限公司 一种等离子体工艺方法
JP7145041B2 (ja) * 2018-11-08 2022-09-30 東京エレクトロン株式会社 基板支持器、プラズマ処理装置、及びフォーカスリング
KR102595900B1 (ko) * 2018-11-13 2023-10-30 삼성전자주식회사 플라즈마 처리 장치
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
US11289310B2 (en) 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
US11955314B2 (en) * 2019-01-09 2024-04-09 Tokyo Electron Limited Plasma processing apparatus
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11508554B2 (en) 2019-01-24 2022-11-22 Applied Materials, Inc. High voltage filter assembly
US10784089B2 (en) 2019-02-01 2020-09-22 Applied Materials, Inc. Temperature and bias control of edge ring
JP7340938B2 (ja) * 2019-02-25 2023-09-08 東京エレクトロン株式会社 載置台及び基板処理装置
WO2020214327A1 (en) 2019-04-19 2020-10-22 Applied Materials, Inc. Ring removal from processing chamber
US12009236B2 (en) 2019-04-22 2024-06-11 Applied Materials, Inc. Sensors and system for in-situ edge ring erosion monitor
JP7271330B2 (ja) * 2019-06-18 2023-05-11 東京エレクトロン株式会社 載置台及びプラズマ処理装置
WO2020255319A1 (ja) * 2019-06-20 2020-12-24 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
TW202109611A (zh) 2019-07-12 2021-03-01 新加坡商Aes全球公司 具有單一控制開關之偏壓供應器
JP7362400B2 (ja) * 2019-10-01 2023-10-17 東京エレクトロン株式会社 載置台及びプラズマ処理装置
JP7325294B2 (ja) 2019-10-17 2023-08-14 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN113348732B (zh) * 2019-12-18 2024-02-09 株式会社日立高新技术 等离子处理装置
US11276601B2 (en) * 2020-04-10 2022-03-15 Applied Materials, Inc. Apparatus and methods for manipulating power at an edge ring in a plasma processing device
KR102177146B1 (ko) * 2020-04-10 2020-11-10 비씨엔씨 주식회사 플라즈마 공정 챔버용 엣지링
TW202234461A (zh) * 2020-05-01 2022-09-01 日商東京威力科創股份有限公司 蝕刻裝置及蝕刻方法
US11404250B2 (en) * 2020-07-08 2022-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma etcher edge ring with a chamfer geometry and impedance design
US11848176B2 (en) 2020-07-31 2023-12-19 Applied Materials, Inc. Plasma processing using pulsed-voltage and radio-frequency power
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
CN112736015A (zh) * 2020-12-31 2021-04-30 拓荆科技股份有限公司 用于调节处理腔中电浆曲线的装置及其控制方法
KR20220100339A (ko) 2021-01-08 2022-07-15 삼성전자주식회사 플라즈마 처리 장치 및 이를 이용한 반도체 소자 제조방법
EP4280987A1 (en) * 2021-01-23 2023-11-29 Sheperak, Thomas J. Plasma gas generator
CN114883166A (zh) * 2021-02-05 2022-08-09 东京毅力科创株式会社 基片支承体和基片处理装置
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11984306B2 (en) 2021-06-09 2024-05-14 Applied Materials, Inc. Plasma chamber and chamber component cleaning methods
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
JPWO2022264571A1 (zh) 2021-06-16 2022-12-22
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11476090B1 (en) 2021-08-24 2022-10-18 Applied Materials, Inc. Voltage pulse time-domain multiplexing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11972924B2 (en) 2022-06-08 2024-04-30 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6039836A (en) * 1997-12-19 2000-03-21 Lam Research Corporation Focus rings
JP2002009043A (ja) * 2000-06-23 2002-01-11 Hitachi Ltd エッチング装置及びそれを用いた半導体装置の製造方法
US6475336B1 (en) * 2000-10-06 2002-11-05 Lam Research Corporation Electrostatically clamped edge ring for plasma processing
JP2004022822A (ja) * 2002-06-17 2004-01-22 Shibaura Mechatronics Corp プラズマ処理方法および装置
CN100418187C (zh) * 2003-02-07 2008-09-10 东京毅力科创株式会社 等离子体处理装置、环形部件和等离子体处理方法
US20060172542A1 (en) 2005-01-28 2006-08-03 Applied Materials, Inc. Method and apparatus to confine plasma and to enhance flow conductance
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
CN101627461B (zh) 2007-03-05 2012-01-11 朗姆研究公司 具有可变功率的边缘电极
JP5317424B2 (ja) * 2007-03-28 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置
US7758764B2 (en) 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US8607731B2 (en) * 2008-06-23 2013-12-17 Applied Materials, Inc. Cathode with inner and outer electrodes at different heights
US20100018648A1 (en) * 2008-07-23 2010-01-28 Applied Marterials, Inc. Workpiece support for a plasma reactor with controlled apportionment of rf power to a process kit ring
US8414790B2 (en) * 2008-11-13 2013-04-09 Lam Research Corporation Bevel plasma treatment to enhance wet edge clean
JP5371466B2 (ja) * 2009-02-12 2013-12-18 株式会社日立ハイテクノロジーズ プラズマ処理方法
JP5357639B2 (ja) 2009-06-24 2013-12-04 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20110011534A1 (en) 2009-07-17 2011-01-20 Rajinder Dhindsa Apparatus for adjusting an edge ring potential during substrate processing
JP3154930U (ja) * 2009-08-19 2009-10-29 日本碍子株式会社 電極内蔵セラミックス部品
JP5606063B2 (ja) * 2009-12-28 2014-10-15 東京エレクトロン株式会社 プラズマ処理装置
US20120164834A1 (en) 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates

Also Published As

Publication number Publication date
JP2021100141A (ja) 2021-07-01
KR20170008138A (ko) 2017-01-23
CN106356274B (zh) 2019-01-01
SG10201601910WA (en) 2017-02-27
TW202213511A (zh) 2022-04-01
TWI697951B (zh) 2020-07-01
TWI753436B (zh) 2022-01-21
SG10202107291WA (en) 2021-08-30
KR20230127181A (ko) 2023-08-31
CN106356274A (zh) 2017-01-25
TWI810752B (zh) 2023-08-01
US20170018411A1 (en) 2017-01-19
JP7177200B2 (ja) 2022-11-22
JP2017055100A (ja) 2017-03-16
KR102570642B1 (ko) 2023-08-23
JP6852974B2 (ja) 2021-03-31
TW202034400A (zh) 2020-09-16
US10163610B2 (en) 2018-12-25

Similar Documents

Publication Publication Date Title
JP7177200B2 (ja) エッジに限局されたイオン軌道制御及びプラズマ動作を通じた、最端エッジにおけるシース及びウエハのプロフィール調整
TWI747837B (zh) 用於電漿處理腔室的邊緣環組件及用於電漿處理的系統
TWI768395B (zh) 電漿處理裝置及電漿處理方法
TWI512781B (zh) 具有可撓性對稱射頻返回帶之電漿處理腔室
JP5336199B2 (ja) 複数の容量および誘導プラズマ源を備えたプラズマ処理リアクタ
KR101812646B1 (ko) 플라즈마 처리 장치 및 반도체 장치의 제조 방법
KR200479295Y1 (ko) 플라즈마 처리 챔버의 가동 기판 지지 어셈블리를 위한 소모성 격리 링
TW201207987A (en) Plasma processing apparatus and method of manufacturing semiconductor device
JP2009528676A (ja) プラズマエッチングチャンバのための統合型の容量および誘導電源
JP2010532099A (ja) 基材処理のための方法および装置
JP2013149865A (ja) プラズマ処理装置
CN111354672B (zh) 静电卡盘及等离子体加工装置
KR102149564B1 (ko) 이탈 제어 방법 및 플라즈마 처리 장치
TW202249540A (zh) 脈衝dc電漿腔室中的電漿均勻性控制
US11387134B2 (en) Process kit for a substrate support
US20220344134A1 (en) Process kit for a substrate support
JP2016096342A (ja) プラズマ処理装置
US20190096636A1 (en) Plasma processing apparatus, plasma processing method and method of manufacturing semiconductor device using the same
CN112216590B (zh) 用于改善在晶片极端边缘的特征轮廓倾斜的边缘环组件
CN115705987A (zh) 等离子体处理装置和蚀刻方法