US20110011534A1 - Apparatus for adjusting an edge ring potential during substrate processing - Google Patents

Apparatus for adjusting an edge ring potential during substrate processing Download PDF

Info

Publication number
US20110011534A1
US20110011534A1 US12/504,824 US50482409A US2011011534A1 US 20110011534 A1 US20110011534 A1 US 20110011534A1 US 50482409 A US50482409 A US 50482409A US 2011011534 A1 US2011011534 A1 US 2011011534A1
Authority
US
United States
Prior art keywords
edge ring
substrate
potential
edge
voltage control
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/504,824
Inventor
Rajinder Dhindsa
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Priority to US12/504,824 priority Critical patent/US20110011534A1/en
Publication of US20110011534A1 publication Critical patent/US20110011534A1/en
Assigned to LAM RESEARCH CORPORATION reassignment LAM RESEARCH CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: DHINDSA, RAJINDER
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • H01J37/32642Focus rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32623Mechanical discharge control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/20Positioning, supporting, modifying or maintaining the physical state of objects being observed or treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

An apparatus for performing ion incident angle control while processing a substrate within a processing chamber is provided. The apparatus includes an edge ring surrounding the substrate disposed on a lower electrode, wherein the edge ring is electrically isolated from the lower electrode. The edge ring receives a first voltage from an edge ring direct current (DC) voltage control arrangement, resulting in an edge ring potential. The apparatus also includes a radio frequency source that provides power to the lower electrode and a gas distribution system that delivers gases into the processing chamber to interact with power to generate plasma to process the substrate. During processing, the edge ring DC voltage control arrangement is adjusted to cause the edge ring potential to be higher than the DC potential on the substrate, thereby causing the plasma to have a non-uniform angular ion distribution profile for processing the substrate edge.

Description

    PRIORITY CLAIM
  • This continuation application claims priority under 37 CFR 1.53(b) of and claims the benefit under 35 U.S.C. §120 to a commonly assigned patent application entitled “Apparatus and Methods For Adjusting An Edge Ring Potential Substrate Processing,” by Rajinder Dhindsa, Attorney Docket No. P1499/LMRX-P106, application Ser. No. 11/428,155 filed on Jun. 30, 2006, all of which is incorporated herein by reference.
  • BACKGROUND OF THE INVENTION
  • The present invention relates in general to substrate manufacturing technologies and in particular to an apparatus for ion incident angle control and/or for polymer control and methods therefor.
  • In the processing of a substrate. e.g., a semiconductor substrate or a glass panel such as one used in flat panel display manufacturing, plasma is often employed. As part of the processing of a substrate for example, the substrate is divided into a plurality of dies, or rectangular areas, each of which will become an integrated circuit. The substrate is then processed in a series of steps in which materials are selectively removed (etching) and deposited. Control of the transistor gate critical dimension (CD) on the order of a few nanometers is a top priority, as each nanometer deviation from the target gate length may translate directly into the operational speed of these devices.
  • Areas of the hardened emulsion are then selectively removed, causing components of the underlying layer to become exposed. The substrate is then placed in a plasma processing chamber on a substrate support structure comprising a mono-polar or bi-polar electrode, called a chuck or pedestal. Appropriate etchant gases are then flowed into the chamber and struck to form a plasma to etch exposed areas of the substrate.
  • A common etching method is RIE or reactive ion etch. RIE combines both chemical and ion processes in order to remove material from the substrate (e.g., photoresist, BARC, TiN, Oxide, etc.). However, the pressure to further reduce substrate feature sizes, as well as the implementation of newer optimized substrate materials, has challenged current fabrication technologies. For example, it is becoming increasing difficult to maintain the uniformity or process results from the center to the edge of larger substrates (e.g., >300 mm). In general, for a given feature size, the larger the size of the substrate, the greater the number of devices on the substrate near the edge. Likewise, for a given substrate size, the smaller the feature size, the greater the number of devices on the substrate near the edge. For example, often over 20% the total number of devices on a substrate is located near the perimeter the substrate.
  • Due to substrate edge effects, such as electric field, plasma temperature, and the loading effects from process chemistry, the process results near the substrate edge may differ from the remaining (center) area of the substrate. For example, the equipotential lines of the plasma sheath may become disrupted, causing non-uniform ion angular distribution around the substrate edge.
  • Referring now to FIG. 1, a simplified diagram of a capacitively coupled plasma processing system is shown. In general, a source RF generated by source RF generator 110 is commonly used to generate the plasma as well as control the plasma density via capacitively coupling. In other configurations, multiple RF generators may be used.
  • Generally, an appropriate set of gases is flowed through an inlet in upper electrode 102, and subsequently ionized to form a plasma 104, in order to process (e.g., etch or deposit) exposed areas of substrate 106, such as a semiconductor substrate or a glass pane, positioned with an edge ring 112 (e.g., Si, etc.) on an electrostatic chuck 108, which also serves as a powered electrode. Certain etch applications may require the upper electrode to be grounded with respect to a lower electrode frequency RF signal within ˜20 KHz thru 800 KHz. Other etch applications may require the upper electrode to be grounded with respect to a lower electrode RF signal that is at least one of 2 MHz, 27 MHz, and 60 MHz. Still other etch application may require the upper electrode to be grounded with respect to all of the RF signal frequencies previously mentioned.
  • Edge ring 112 generally performs many functions, including positioning substrate 106 on chuck 108 and shielding the underlying components not protected by the substrate itself from being damaged by the ions of the plasma. edge ring 112 may further sit on coupling ring 120 (e.g., quartz, etc.), which is generally configured to provide a current path from chuck 108 to an edge ring 112.
  • In general, it is desirable for the electric field to remain substantially constant over the entire surface of the substrate in order to maintain process uniformity and vertical etch profiles. However, because of plasma chamber conditions and/or configuration, a potential difference may exist between chuck 108 and the edge ring 112. Consequently, this potential difference may create a non-uniformity 122 in the plasma sheath shape, and hence adversely affect the etch profile.
  • In addition, during the etch process, it is not uncommon for polymer byproducts (e.g., fluorinated polymers, etc.) to form on the substrate backside and/or around the substrate edge. Fluorinated polymers generally comprise photoresist material previously exposed to an etch chemistry, or polymer byproducts deposited during a fluorocarbon etch process. In general, a fluorinated polymer is a substance with a chemical equation of CxHyFz, where x, z are integers greater than 0, and y is an integer greater than or equal to 0 (e.g., CF4, C2F6, CH2F2, C4F8, C5F8, etc.).
  • However, as successive polymer layers are deposited on the edge area as the result of several different etch processes, organic bonds that are normally strong and adhesive will eventually weaken and peel or flake off, often onto another substrate during transport. For example, substrates are commonly moved in sets between plasma processing systems via substantially clean containers, often called cassettes. As a higher positioned substrate is repositioned in the container, a portion of a polymer layer may fall on a lower substrate where dies are present, potentially affecting device yield.
  • Referring now to FIG. 2, a simplified diagram of a substrate in which a set of edge polymers have been deposited on the planar backside is shown. As previously stated, during the etch process, it is not uncommon for polymer byproducts (edge polymers) to form on the substrate. In this example, the polymer byproducts have been deposited on the planar backside, that is, the side of the substrate away from the plasma. For example, the polymer thickness may be about 250 nm at about 70° 202, 270 nm at about 45° 204, and about 120 nm at 0° 206. In general, the greater the thickness of the polymer, the higher the likeliness that a portion of the polymer may become dislodged and fall onto another substrate or the chuck, potentially affecting manufacturing yield.
  • SUMMARY OF THE INVENTION
  • The invention relates, in an embodiment, to an apparatus for performing ion incident angle control while processing a substrate within a plasma processing system. The apparatus includes a processing chamber and a lower electrode, wherein the substrate is disposed above the lower electrode during the processing of the substrate. The apparatus also includes an edge ring, wherein the substrate is surrounded by the edge ring, wherein the edge ring is electrically isolated from the lower electrode. The apparatus further includes an edge ring direct current (DC) voltage control arrangement, wherein the edge ring DC voltage control arrangement is coupled to the edge ring to provide a first voltage to the edge ring, resulting in the edge ring having an edge ring potential. The apparatus yet also includes a radio frequency (RF) source, wherein the RF source is configured at least for providing power to the lower electrode. The apparatus yet further includes a gas distribution system, wherein the gas distribution system is configured at least for delivering a set of gases into the processing chamber, wherein the set of gases interacts with the power delivered by the RF source to generate a plasma within the plasma processing chamber to process the substrate, the substrate being processed while the edge ring DC voltage control arrangement is configured to be adjusted to cause the plasma to have a non-uniform angular ion distribution profile for processing an edge of the substrate, wherein adjusting the edge ring DC voltage control arrangement causes the edge ring potential to be higher than a DC potential of the substrate.
  • These and other features of the present invention will be described in more detail below in the detailed description of the invention and in conjunction with the following figures.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • The present invention is illustrated by way of example, and not by way of limitation, in the figures of the accompanying drawings and in which like reference numerals refer to similar elements and in which:
  • FIG. 1 shows a simplified diagram of a capacitively coupled plasma processing system;
  • FIG. 2 shows a simplified diagram of a substrate in which a set of edge polymers have been deposited on the planar backside; and
  • FIGS. 3A-B show a set of simplified diagrams showing a capacitively coupled plasma processing system with optimized ion angular distribution, according to an embodiment of the invention.
  • DETAILED DESCRIPTION OF THE PREFERRED EMBODIMENTS
  • The present invention will now be described in detail with reference to a few preferred embodiments thereof as illustrated in the accompanying drawings. In the following description, numerous specific details are set forth in order to provide a thorough understanding of the present invention. It will be apparent, however, to one skilled in the art, that the present invention may be practiced without some or all of these specific details. In other instances, well known process steps and/or structures have not been described in detail in order to not unnecessarily obscure the present invention.
  • While not wishing to be bound by theory, the inventor believes that the ion angular distribution may be controlled by altering the DC potential between the substrate and the edge ring, thus optimizing the equipotential lines of the plasma sheath for a given plasma process. In an advantageous manner, changes may be made to the electric field around the substrate edge by changing an RF coupling of an edge ring. In an embodiment, the chuck is substantially electrically isolated from the edge ring.
  • For example, if the DC potential of the substrate edge is substantially the same as the DC potential of the edge ring, the ion angular distribution is generally uniform. Consequently, in an area of the plasma sheath above both the substrate and the edge ring, a set of ion vectors are formed that are substantially perpendicular to the substrate.
  • However, if the DC potential of the substrate edge is substantially different to the DC potential of the edge ring, the ion angular distribution is generally non-uniform. Consequently, in an area of the plasma sheath above both the substrate and the edge ring, a set of ion vectors are formed that tend to point either toward or away from the substrate.
  • In an advantageous fashion, the DC potential on the edge ring may be independently controlled from that of the substrate. Consequently, the difference between the DC potential of the substrate to the DC potential of the edge ring may be optimized in order to control the angular distribution of the positively charged ions in the plasma around the edge of the substrate.
  • For example, if the DC voltage of the edge ring is negative and substantially similar to that of the substrate (e.g., Vsubstrate-Vedge ring≈0), angular ion distribution is substantially uniform, with a set of vectors that are substantially perpendicular to the substrate, in an area of the plasma sheath above both the substrate and the edge ring. This angular profile may be useful for anisotropic etch applications, such as etching contacts and trenches with high aspect ratios.
  • In addition, certain devices require the etch features (e.g., high aspect ratio contacts, vias or trenches) to assume a particular directionality in order to, for example, enable a particular etch feature to make contact with another underlying feature. For example, if a vertical via etch is required to allow the via to make contact with an underlying feature, a deviation from etch verticality may cause the via to miss the intended underlying feature, thereby resulting in a defective device and affecting yield. For these applications, precise control of ion directionality at the substrate edge to achieve proper etch directionality is a critical requirement.
  • In contrast, if the DC voltage of the edge ring is more positive (less negative) than that of the substrate (e.g., Vsubstrate-Vedge ring<0), the angular ion distribution profile is substantially non-uniform, with a set of vectors that tend to point toward the substrate edge. This angular profile may be useful for edge polymer removal. Unlike wet cleaning processes, the current invention allows edge polymer removal in an all-dry (e.g., process, etc.) with minimal effluent across a wide variety of vacuum-compatible materials (e.g., silicon, metals, glass, ceramics, etc.). For example, a common dry etch process involves ion-assisted etching, or sputtering, in which ions are used to dislodge material from the substrate (e.g., oxide, etc.). Generally ions in the plasma enhance a chemical process by striking the surface of the substrate, and subsequently breaking the chemical bonds of the atoms on the surface in order to make them more susceptible to reacting with the molecules of the chemical process.
  • Referring now to FIGS. 3A-B, a set of simplified diagrams showing a capacitively coupled plasma processing system with optimized ion angular distribution is shown, according to an embodiment of the invention. FIG. 3A shows a simplified diagram of a capacitively coupled plasma processing system in which the DC potential of the edge ring is substantially greater than that of the substrate. In general, a source RF generated by source RF generator 110 is commonly used to generate the plasma as well as control the plasma density via capacitively coupling. As previously mentioned, certain etch applications may require the upper electrode to be grounded with respect to a lower electrode frequency RF signal within ˜20 KHz thru 800 KHz. Other etch applications may require the upper electrode to be grounded with respect to an RF signal that is at least one of 2 MHz, 27 MHz, and 60 MHz. Still other etch applications may require the upper electrode to be grounded with respect to all of the RF signal frequencies previously mentioned.
  • Generally, an appropriate set of gases is flowed through an inlet in upper electrode 102, and subsequently ionized to form a plasma 104, in order to process (e.g., etch or deposit) exposed areas of substrate 106, such as a semiconductor substrate or a glass pane, positioned with an edge ring 112 (e.g., Si, etc.) on an electrostatic chuck 108, which also serves as a powered electrode.
  • Edge ring 112 generally performs many functions, including positioning substrate 106 on chuck 108 and shielding the underlying components not protected by the substrate itself from being damaged by the ions of the plasma. edge ring 112 may further sit on coupling ring 120 (e.g., quartz, etc.), which is generally configured to provide a current path from chuck 108 to an edge ring 112. In general, in an advantageous manner, a configurable DC power source 316 may be coupled to edge ring 112 through RF filter 314.
  • RF filter 314 is generally used to provide attenuation of unwanted harmonic RF energy without introducing losses to DC power source 316. In an embodiment, RF filter 314 includes a switch module that allows a positive or negative current polarity to be selected, as well as a path to ground. In an embodiment, the RF filter 314 includes vacuum relays. Harmonics are generated in the plasma discharge and may be kept from being returned to the DC power source by the RF filter. In this case, since DC power source 316 sources a positive voltage, the DC potential of the edge ring is substantially higher than that of the substrate in a typical plasma process. Thus, the angular ion distribution profile is thus substantially non-uniform, with a set of vectors that tend to point toward areas of lower potential, such as the substrate edge. This application is highly useful for polymer removal from the substrate edge, as mentioned earlier.
  • Referring now to FIG. 3B, a simplified diagram is shown of a capacitively coupled plasma processing system in which the DC potential of the edge ring is substantially similar to that of the substrate (e.g., Vsubstrate-Vedge ring≈0). Generally speaking, the DC potential on the substrate during processing tends to be negative with respect to ground, and thus when the edge ring is coupled to receive a negative potential (with respect to ground), the DC potential of the edge ring and the DC potential of the substrate are substantially equal. Consequently, angular ion distribution is substantially uniform, with a set of vectors that are substantially perpendicular to the substrate in an area of the plasma sheath above both the substrate and the edge ring. As previously stated, this perpendicular angular profile may be useful for anisotropic etch applications, such as etching contacts and trenches with high aspect ratios.
  • It is also possible to, for example, couple the ground terminal of the DC power source, in which case the edge ring may have a higher potential (being at ground) than the DC potential of the substrate (being generally negative during processing, in an embodiment). In this case, the angular ion distribution will also tend toward the substrate edge, albeit to a lesser degree than when the edge ring is coupled to receive voltage from the positive terminal of the DC power source (as in the case of FIG. 3A).
  • In an embodiment, a feedback circuit may be provided to monitor the DC voltage of the substrate (which may vary during the various process steps and process substeps). The monitored DC voltage of the substrate may be employed as a feedback signal in an appropriate control circuit to control the DC voltage delivered to the edge ring, thereby allowing the appropriate ion directionality to be maintained even if the DC voltage of the substrate changes.
  • In an embodiment, the DC voltage of the edge ring may be provided by a RF power source (e.g., a RF power source that may be different from the RF power source delivering RF power to the lower electrode). Thus, DC voltage control of the edge ring relative to the DC potential of the substrate is the thrust of the techniques of various embodiments disclosed herein, and the actual edge ring DC voltage control arrangement to provide/maintain the DC voltage to the edge ring may differ depending on implementations.
  • While this invention has been described in terms of several preferred embodiments, there are alterations, permutations, and equivalents, which fall within the scope of this invention. It should also be noted that there are many alternative ways of implementing the methods and apparatuses of the present invention. Although various examples are provided herein, it is intended that these examples be illustrative and not limiting with respect to the invention. Further, the abstract is provided herein for convenience and should not be employed to construe or limit the overall invention, which is expressed in the claims. It is therefore intended that the following appended claims be interpreted as including all such alterations, permutations, and equivalents as fall within the true spirit and scope of the present invention. For example, although the present invention has been described in connection with Lam Research plasma processing systems (e.g., Exelan™, Exelan™ HP, Exelan™ HPT, 2300™, Versys™ Star, etc.), other plasma processing systems may be used (e.g., capacitively coupled, inductively coupled, etc.). This invention may also be used with substrates of various diameters (e.g., 200 mm, 300 mm, LCD, etc.). Furthermore, the term set as used herein includes one or more of the named element of the set. For example, a set of “X” refers to one or more “X.”
  • Advantages of the invention include substantial control of ion angular distribution around the substrate edge. Additional advantages include cleaning a bevel polymer during an in situ strip process, optimizing the plasma process, and improving substrate yield.
  • Having disclosed exemplary embodiments and the best mode, modifications and variations may be made to the disclosed embodiments while remaining within the subject and spirit of the invention as defined by the following claims.

Claims (21)

1-30. (canceled)
31. An apparatus for performing ion incident angle control while processing a substrate within a plasma processing system, comprising:
a processing chamber;
a lower electrode, wherein said substrate is disposed above said lower electrode during said processing of said substrate;
an edge ring, wherein said substrate is surrounded by said edge ring, wherein said edge ring is electrically isolated from said lower electrode;
an edge ring direct current (DC) voltage control arrangement, wherein said edge ring DC voltage control arrangement is coupled to said edge ring to provide a first voltage to said edge ring, resulting in said edge ring having an edge ring potential;
a radio frequency (RF) source, wherein said RF source is configured at least for providing power to said lower electrode; and
a gas distribution system, wherein said gas distribution system is configured at least for delivering a set of gases into said processing chamber, wherein said set of gases interact with said power delivered by said RF source to generate a plasma within said plasma processing chamber to process said substrate, said substrate being processed while said edge ring DC voltage control arrangement is configured to be adjusted to cause said plasma to have a non-uniform angular ion distribution profile for processing an edge of said substrate, wherein adjusting said edge ring DC voltage control arrangement causes said edge ring potential to be higher than a DC potential of said substrate.
32. The apparatus of claim 31 wherein said edge ring DC voltage control arrangement includes an RF filter arrangement and a DC power source, said RF filter arrangement being disposed between said edge ring and said DC power source.
33. The apparatus of claim 32 wherein said RF filter arrangement is configured to attenuate unwanted harmonic RF energy from reaching said DC power source.
34. The apparatus of claim 31 wherein said RF power has an RF frequency in a range from about 50 KHz to about 800 KHz.
35. The apparatus of claim 31 wherein said RF power has a set of RF frequencies that includes at least one of 2 MHz, 27 MHz, and 60 MHz.
36. The apparatus of claim 31 wherein said plasma processing chamber represents one of a capacitively-coupled plasma processing chamber and an inductively-coupled plasma processing chamber.
37. The apparatus of claim 31 wherein said edge ring DC voltage control arrangement includes one of an RF power supply and a DC power supply.
38. The apparatus of claim 31 further including a feedback circuit configured to monitor said DC potential of said substrate, whereby said DC potential of said substrate is employed as a feedback signal to vary said first voltage when said DC potential of said substrate changes.
39. An apparatus for reducing polymer deposition around an edge of a substrate during processing within a plasma processing system, comprising:
a processing chamber;
a lower electrode, wherein said substrate is disposed above said lower electrode during said processing of said substrate;
an edge ring, wherein said substrate is surrounded by said edge ring, wherein said edge ring is electrically isolated from said lower electrode;
an edge ring direct current (DC) voltage control arrangement, wherein said edge ring DC voltage control arrangement is coupled to said edge ring to provide a first voltage to said edge ring, resulting in said edge ring having an edge ring potential;
a radio frequency (RF) source, wherein said RF source is configured at least for providing power to said lower electrode; and
a gas distribution system, wherein said gas distribution system is configured at least for delivering a set of gases into said processing chamber, wherein said set of gases interact with said power delivered by said RF source to generate a plasma within said plasma processing chamber to process said substrate, said substrate being processed while said edge ring DC voltage control arrangement is configured to be adjusted to cause said edge ring potential to be different from a DC potential of said substrate while processing said substrate so as to cause an ion incident angle of ions disposed in a vicinity of said edge of said substrate to be biased toward said edge of said substrate and away from said edge ring, wherein adjusting said edge ring DC voltage control arrangement causes said plasma to have a non-uniform angular ion distribution profile.
40. The apparatus of claim 39 wherein said edge ring DC voltage control arrangement includes an RF filter arrangement and a DC power source, said RF filter arrangement being disposed between said edge ring and said DC power source.
41. The apparatus of claim 40 wherein said RF filter arrangement is configured to attenuate unwanted harmonic RF energy.
42. The apparatus of claim 39 wherein said plasma processing chamber represents one of capacitively-coupled plasma processing chamber and an inductively coupled plasma processing chamber.
43. The apparatus of claim 39 wherein said RF power has an RF frequency in a range from about 50 KHz to about 800 KHz.
44. The apparatus of claim 39 wherein said RF power has a set of RF frequencies that includes at least one of 2 MHz, 27 MHz, and 60 MHz.
45. The apparatus of claim 39 wherein said edge ring DC voltage control arrangement includes one of an RF power supply and a DC power supply.
46. The apparatus of claim 39 further including a feedback circuit configured to monitor said DC potential of said substrate, whereby said DC potential of said substrate is employed as a feedback signal to vary said first voltage when said DC potential of said substrate changes.
47. An apparatus for performing ion incident angle control while processing a substrate within a plasma processing system, comprising:
a processing chamber;
a lower electrode, wherein said substrate is disposed above said lower electrode during said processing of said substrate;
an edge ring, wherein said substrate is surrounded by said edge ring, wherein said edge ring is electrically isolated from said lower electrode;
an edge ring direct current (DC) voltage control arrangement, wherein said edge ring DC voltage control arrangement is coupled to said edge ring to provide a first voltage to said edge ring, resulting in said edge ring having an edge ring potential;
a radio frequency (RF) source, wherein said RF source is configured at least for providing power to said lower electrode; and
a gas distribution system, wherein said gas distribution system is configured at least for delivering a set of gases into said processing chamber, wherein said set of gases interact with said power delivered by said RF source to generate a plasma within said plasma processing chamber to process said substrate, said substrate being processed while said edge ring DC voltage control arrangement is configured to be adjusted to cause said plasma to have a non-uniform angular ion distribution profile for processing an edge of said substrate, wherein adjusting said edge ring DC voltage control arrangement causes said edge ring potential to be less than a DC potential of said substrate.
48. The apparatus of claim 47 wherein said edge ring DC voltage control arrangement includes an RF filter arrangement and a DC power source, said RF filter arrangement being disposed between said edge ring and said DC power source.
49. The apparatus of claim 48 wherein said RF filter arrangement is configured to attenuate unwanted harmonic RF energy.
50. The apparatus of claim 47 further including a feedback circuit configured to monitor said DC potential of said substrate, whereby said DC potential of said substrate is employed as a feedback signal to vary said first voltage when said DC potential of said substrate changes.
US12/504,824 2009-07-17 2009-07-17 Apparatus for adjusting an edge ring potential during substrate processing Abandoned US20110011534A1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
US12/504,824 US20110011534A1 (en) 2009-07-17 2009-07-17 Apparatus for adjusting an edge ring potential during substrate processing

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/504,824 US20110011534A1 (en) 2009-07-17 2009-07-17 Apparatus for adjusting an edge ring potential during substrate processing

Publications (1)

Publication Number Publication Date
US20110011534A1 true US20110011534A1 (en) 2011-01-20

Family

ID=43464450

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/504,824 Abandoned US20110011534A1 (en) 2009-07-17 2009-07-17 Apparatus for adjusting an edge ring potential during substrate processing

Country Status (1)

Country Link
US (1) US20110011534A1 (en)

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100159703A1 (en) * 2008-12-19 2010-06-24 Andreas Fischer Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
WO2016040006A1 (en) * 2014-09-10 2016-03-17 Varian Semiconductor Equipment Associates, Inc. Control of ion angular distribution of ion beams with hidden deflection electrode
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
KR20170008138A (en) * 2015-07-13 2017-01-23 램 리써치 코포레이션 Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US20180005832A1 (en) * 2016-06-30 2018-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method and Tool of Manufacture
WO2018019482A1 (en) * 2016-07-28 2018-02-01 Robert Bosch Gmbh Improved guidance of ions from a plasma to a substrate to be coated
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
CN112997270A (en) * 2018-11-21 2021-06-18 应用材料公司 Edge ring control circuit for shaped DC pulsed plasma processing apparatus
US11393710B2 (en) 2016-01-26 2022-07-19 Applied Materials, Inc. Wafer edge ring lifting solution
JP2022140572A (en) * 2016-06-22 2022-09-26 ラム リサーチ コーポレーション System and method for controlling directivity of ion in edge region by using electrode within coupling ring
US11574800B2 (en) * 2016-09-19 2023-02-07 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US11728143B2 (en) 2018-05-28 2023-08-15 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11887879B2 (en) 2017-09-21 2024-01-30 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5573597A (en) * 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
US5868848A (en) * 1995-06-07 1999-02-09 Tokyo Electron Limited Plasma processing apparatus
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US20030019582A1 (en) * 2001-07-24 2003-01-30 Tokyo Electron Limited Of Tbs Broadcast Center Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20030164142A1 (en) * 2001-01-25 2003-09-04 Chischio Koshimizu Plasma processing apparatus
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US20040053428A1 (en) * 2002-09-18 2004-03-18 Steger Robert J. Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20050103275A1 (en) * 2003-02-07 2005-05-19 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US20050230049A1 (en) * 2004-04-14 2005-10-20 Ryoji Nishio Method and apparatus for plasma processing
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060060303A1 (en) * 2003-03-31 2006-03-23 Tokyo Electron Limited Plasma processing system and method
US20060066247A1 (en) * 2004-06-21 2006-03-30 Tokyo Electron Limited Plasma processing apparatus and method
US20060118044A1 (en) * 2004-12-03 2006-06-08 Shinji Himori Capacitive coupling plasma processing apparatus
US20070066062A1 (en) * 2005-09-20 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Landing uniformity ring for etch chamber
US20070193688A1 (en) * 2006-02-21 2007-08-23 Lam Research Corporation Process tuning gas injection from the substrate edge
US20080241420A1 (en) * 2007-03-30 2008-10-02 Rajinder Dhindsa Method and apparatus for dc voltage control on rf-powered electrode
US20100304572A1 (en) * 2009-06-02 2010-12-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US8486798B1 (en) * 2012-02-05 2013-07-16 Tokyo Electron Limited Variable capacitance chamber component incorporating a semiconductor junction and methods of manufacturing and using thereof
US8721833B2 (en) * 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof

Patent Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5868848A (en) * 1995-06-07 1999-02-09 Tokyo Electron Limited Plasma processing apparatus
US5573597A (en) * 1995-06-07 1996-11-12 Sony Corporation Plasma processing system with reduced particle contamination
US6635185B2 (en) * 1997-12-31 2003-10-21 Alliedsignal Inc. Method of etching and cleaning using fluorinated carbonyl compounds
US6363882B1 (en) * 1999-12-30 2002-04-02 Lam Research Corporation Lower electrode design for higher uniformity
US20030164142A1 (en) * 2001-01-25 2003-09-04 Chischio Koshimizu Plasma processing apparatus
US20030019582A1 (en) * 2001-07-24 2003-01-30 Tokyo Electron Limited Of Tbs Broadcast Center Electrostatic control of deposition of, and etching by, ionized materials in semiconductor processing
US20040053428A1 (en) * 2002-09-18 2004-03-18 Steger Robert J. Method and apparatus for the compensation of edge ring wear in a plasma processing chamber
US20050103275A1 (en) * 2003-02-07 2005-05-19 Tokyo Electron Limited Plasma processing apparatus, ring member and plasma processing method
US20060060303A1 (en) * 2003-03-31 2006-03-23 Tokyo Electron Limited Plasma processing system and method
US20040221958A1 (en) * 2003-05-06 2004-11-11 Lam Research Corporation RF pulsing of a narrow gap capacitively coupled reactor
US20050230049A1 (en) * 2004-04-14 2005-10-20 Ryoji Nishio Method and apparatus for plasma processing
US20060066247A1 (en) * 2004-06-21 2006-03-30 Tokyo Electron Limited Plasma processing apparatus and method
US20060042754A1 (en) * 2004-07-30 2006-03-02 Tokyo Electron Limited Plasma etching apparatus
US20060118044A1 (en) * 2004-12-03 2006-06-08 Shinji Himori Capacitive coupling plasma processing apparatus
US20070066062A1 (en) * 2005-09-20 2007-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Landing uniformity ring for etch chamber
US20070193688A1 (en) * 2006-02-21 2007-08-23 Lam Research Corporation Process tuning gas injection from the substrate edge
US7988814B2 (en) * 2006-03-17 2011-08-02 Tokyo Electron Limited Plasma processing apparatus, plasma processing method, focus ring, and focus ring component
US20080241420A1 (en) * 2007-03-30 2008-10-02 Rajinder Dhindsa Method and apparatus for dc voltage control on rf-powered electrode
US20100304572A1 (en) * 2009-06-02 2010-12-02 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US8486798B1 (en) * 2012-02-05 2013-07-16 Tokyo Electron Limited Variable capacitance chamber component incorporating a semiconductor junction and methods of manufacturing and using thereof
US8721833B2 (en) * 2012-02-05 2014-05-13 Tokyo Electron Limited Variable capacitance chamber component incorporating ferroelectric materials and methods of manufacturing and using thereof

Cited By (32)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US20150011097A1 (en) * 2008-12-19 2015-01-08 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9548186B2 (en) * 2008-12-19 2017-01-17 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US20100159703A1 (en) * 2008-12-19 2010-06-24 Andreas Fischer Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US9899195B2 (en) 2014-06-06 2018-02-20 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US9478408B2 (en) 2014-06-06 2016-10-25 Lam Research Corporation Systems and methods for removing particles from a substrate processing chamber using RF plasma cycling and purging
US10704149B2 (en) 2014-06-10 2020-07-07 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
US10081869B2 (en) 2014-06-10 2018-09-25 Lam Research Corporation Defect control in RF plasma substrate processing systems using DC bias voltage during movement of substrates
US10047438B2 (en) 2014-06-10 2018-08-14 Lam Research Corporation Defect control and stability of DC bias in RF plasma-based substrate processing systems using molecular reactive purge gas
CN107078010A (en) * 2014-09-10 2017-08-18 瓦里安半导体设备公司 The control being distributed with the angle ion for the ion beam for hiding deviating electrode
US9514912B2 (en) 2014-09-10 2016-12-06 Varian Semiconductor Equipment Associates, Inc. Control of ion angular distribution of ion beams with hidden deflection electrode
TWI614790B (en) * 2014-09-10 2018-02-11 瓦里安半導體設備公司 Plasma processing apparatue, plasma processing system and method of controlling an ion beam using a hidden deflection electrode
WO2016040006A1 (en) * 2014-09-10 2016-03-17 Varian Semiconductor Equipment Associates, Inc. Control of ion angular distribution of ion beams with hidden deflection electrode
KR101835654B1 (en) 2014-09-10 2018-03-07 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. Plasma processing apparatus and system and method of controlling an ion beam
KR20170008138A (en) * 2015-07-13 2017-01-23 램 리써치 코포레이션 Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
KR102570642B1 (en) 2015-07-13 2023-08-23 램 리써치 코포레이션 Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US11393710B2 (en) 2016-01-26 2022-07-19 Applied Materials, Inc. Wafer edge ring lifting solution
JP7376648B2 (en) 2016-06-22 2023-11-08 ラム リサーチ コーポレーション System and method for controlling ion directionality in the edge region by using electrodes in the coupling ring
JP2022140572A (en) * 2016-06-22 2022-09-26 ラム リサーチ コーポレーション System and method for controlling directivity of ion in edge region by using electrode within coupling ring
US10163642B2 (en) * 2016-06-30 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method and tool of manufacture
US20180005832A1 (en) * 2016-06-30 2018-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device, Method and Tool of Manufacture
CN109477209A (en) * 2016-07-28 2019-03-15 罗伯特·博世有限公司 Ion is turned to from plasma to the improved of substrate to be coated
WO2018019482A1 (en) * 2016-07-28 2018-02-01 Robert Bosch Gmbh Improved guidance of ions from a plasma to a substrate to be coated
US11574800B2 (en) * 2016-09-19 2023-02-07 Varian Semiconductor Equipment Associates, Inc. Extreme edge uniformity control
US10032661B2 (en) 2016-11-18 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device, method, and tool of manufacture
US11887879B2 (en) 2017-09-21 2024-01-30 Applied Materials, Inc. In-situ apparatus for semiconductor process module
US11728143B2 (en) 2018-05-28 2023-08-15 Applied Materials, Inc. Process kit with adjustable tuning ring for edge uniformity control
US11935773B2 (en) 2018-06-14 2024-03-19 Applied Materials, Inc. Calibration jig and calibration method
US11289310B2 (en) * 2018-11-21 2022-03-29 Applied Materials, Inc. Circuits for edge ring control in shaped DC pulsed plasma process device
KR20210077798A (en) * 2018-11-21 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 Circuits for Edge Ring Control in a Shaped DC Pulsed Plasma Process Device
CN112997270A (en) * 2018-11-21 2021-06-18 应用材料公司 Edge ring control circuit for shaped DC pulsed plasma processing apparatus
KR102594606B1 (en) * 2018-11-21 2023-10-25 어플라이드 머티어리얼스, 인코포레이티드 Circuits for edge ring control in shaped DC pulsed plasma process devices

Similar Documents

Publication Publication Date Title
US7572737B1 (en) Apparatus and methods for adjusting an edge ring potential substrate processing
US20110011534A1 (en) Apparatus for adjusting an edge ring potential during substrate processing
US7758764B2 (en) Methods and apparatus for substrate processing
TWI455204B (en) Edge ring arrangements for substrate processing
KR101341711B1 (en) Apparatus and methods to remove films on bevel edge and backside of wafer
KR101526020B1 (en) Plasma processing chamber and method for cleaning bevel edge of substrate and chamber interior of the same
US9184043B2 (en) Edge electrodes with dielectric covers
US20090223810A1 (en) Methods and arrangements for plasma processing system with tunable capacitance
KR20080106427A (en) Methods and apparatus for selective pre-coating of a plasma processing chamber
KR100672696B1 (en) Apparatus and Method for Cleaning of Semiconductor Device using the Plasma
US20180350571A1 (en) Selective in-situ cleaning of high-k films from processing chamber using reactive gas precursor

Legal Events

Date Code Title Description
AS Assignment

Owner name: LAM RESEARCH CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:DHINDSA, RAJINDER;REEL/FRAME:026389/0112

Effective date: 20060629

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION