KR102655866B1 - 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리 - Google Patents

정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리 Download PDF

Info

Publication number
KR102655866B1
KR102655866B1 KR1020207025028A KR20207025028A KR102655866B1 KR 102655866 B1 KR102655866 B1 KR 102655866B1 KR 1020207025028 A KR1020207025028 A KR 1020207025028A KR 20207025028 A KR20207025028 A KR 20207025028A KR 102655866 B1 KR102655866 B1 KR 102655866B1
Authority
KR
South Korea
Prior art keywords
tube adapter
adapter portion
delete delete
esc
insulating tubes
Prior art date
Application number
KR1020207025028A
Other languages
English (en)
Other versions
KR20200106091A (ko
Inventor
미구엘 벤자민 바스케즈
빈센트 부르카르트
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Priority to KR1020247011276A priority Critical patent/KR20240050466A/ko
Publication of KR20200106091A publication Critical patent/KR20200106091A/ko
Application granted granted Critical
Publication of KR102655866B1 publication Critical patent/KR102655866B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68757Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a coating or a hardness or a material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32577Electrical connecting means

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Abstract

다양한 실시예들은 기존 플라즈마 기반 프로세싱 시스템의 정전 척 (electrostatic chuck; ESC) 으로 개장할 장치를 포함한다. 장치는 플라즈마 기반 프로세싱 시스템의 동작 동안, 튜브 어댑터 부분 내 고전압 전극들과 튜브 어댑터 부분의 메인 바디 사이에 아킹을 방지하도록 튜브 어댑터 부분의 내측 표면 상에 형성된 유전체 코팅, 내부에 봉지될 (enclosed) 고전압 전극들을 갖는 다수의 절연 튜브들, 및 아킹을 방지하기 위해 복수의 절연 튜브들 중 바깥쪽 절연 튜브에 근접한 튜브 어댑터 부분의 확대된 갭 부분을 갖는 튜브 어댑터를 포함한다. ESC를 형성하는 다른 방법들, 디바이스들, 장치들, 및 시스템들이 개시된다.

Description

정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
우선권 주장
본 출원은 2018년 1월 31일 출원되고, 명칭이 “Electrostatic Chuck (ESC) Pedestal Voltage Isolation”인 미국 특허 가출원 번호 제 62/624,619 호의 우선권을 주장하는 2019년 1월 30일 출원된 PCT 출원 PCT/US2019/015865의 우선권의 이익을 주장하고, 이들 각각은 본 명세서에 전체가 참조로서 인용된다.
본 명세서에 개시된 주제는 프로세싱 툴에서 페데스탈 전압 분리를 증가시키도록 설계된 정전 척 (electrostatic chuck; ESC) 에 관한 것이다.
통상적인 플라즈마 기반 프로세싱 시스템에서, ESC에 사용된 현재 페데스탈들은 접지 평면으로부터 RF 신호 및 AC 신호를 분리하기 위해 물리적 분리에만 의존한다. 고전압들을 반송하는 추가 전극들 또는 가스 라인들 (예를 들어, 질소 (N2) 가스 퍼지 라인) 의 임의의 부가는 미리 결정된 RF (radio-frequency) 전압, AC (alternating current) 전압, 및/또는 DC (direct current) 전압에 대해 이하의 명세들로 물리적 분리 거리들을 감소시킨다. 감소된 물리적 분리 거리들은 ESC에서 아킹 (arcing) 및 다른 악영향들을 유발할 수 있다.
이 섹션에 기술된 정보는 이하에 개시된 주제에 대한 배경을 당업자에게 제공하기 위해 주어지고 인정된 종래기술로서 간주되지 않아야 한다.
도 1은 정전 척 (ESC) 을 포함하는 기판 지지부 어셈블리를 포함할 수 있는 플라즈마-기반 프로세싱 챔버의 간략화된 예를 도시한다.
도 2는 도 1의 플라즈마-기반 프로세싱 시스템의 몇몇 컴포넌트들을 예시하는 3D 표현의 일 예를 도시한다.
도 3a는 ESC 어셈블리의 일 예의 단면도를 도시한다.
도 3b는 도 3a의 ESC 어셈블리의 튜브 어댑터 부분의 일 예의 단면도를 도시한다.
도 3c는 도 3b의 튜브 어댑터 부분의 상단 부분의 3D (three-dimensional) 표현을 도시한다.
도 3d는 도 3b의 튜브 어댑터 부분의 세퍼레이터 슬리브의 상부 부분의 3D 표현을 도시한다.
도 3e은 도 3b의 튜브 어댑터 부분의 상부 부분의 단면도를 도시한다.
도 3f는 도 3b의 시일링 O-링 근방 튜브 어댑터 부분의 상부 부분의 단면도를 도시한다.
도 3g는 도 3b의 튜브 어댑터 부분의 하부 부분의 단면도를 도시한다.
도 4는 도 3a의 ESC 어셈블리의 3D 단면도를 도시한다.
개시된 주제는 이제 첨부된 도면들 중 다양한 도면들에 예시된 바와 같이 몇몇 일반적인 그리고 구체적인 실시예들을 참조하여 상세히 기술될 것이다. 이하의 기술에서, 다수의 구체적인 상세들은 개시된 주제의 완전한 이해를 제공하기 위해 언급된다. 그러나, 개시된 주제는 이들 구체적인 상세들 중 일부 또는 전부가 없이 실시될 수도 있다는 것이 당업자에게 자명할 것이다. 다른 예들에서, 공지의 프로세스 단계들 또는 구조체들은 개시된 주제를 모호하게 하지 않도록 상세히 기술되지 않았다.
다양한 타입들의 기판들 (예를 들어, 실리콘 웨이퍼들) 을 지지하고 고정하는 정전 척 (electrostatic chuck; ESC) 이 반도체 프로세싱 동작들에서 사용된다. ESC를 지지하는 페데스탈, 및 일반적인 ESC가 이하에, 도 3a를 참조하여 보다 상세히 도시되고 논의된다. LF (low-frequency) RF (radio-frequency) 전력, HF (high-frequency) RF 전력, AC 전력, DC 전력, 및 가스 퍼지 라인들은 페데스탈의 하부 부분을 통해 기판으로 모두 공급된다. 증가하는 수의 전력 및/또는 가스 퍼지 라인들이 페데스탈 내 한정된 공간 내에 피팅할 (fit) 필요가 있기 때문에, 채용된 고전압들은 접지된 표면들에 가로질러 아킹을 발생시킬 수도 있고 또는 이웃하는 전력 공급 라인들 상에 원치 않은 신호들을 유도할 수도 있다는 우려가 있다.
예를 들어, RF 전력은 예를 들어, 플라즈마 기반 프로세싱 시스템의 적어도 ESC로부터 송신될 수도 있다. 플라즈마 기반 프로세싱 시스템은 ESC의 제 1 부분에 배치된 제 1 가열 엘리먼트 및 ESC의 제 2 부분에 배치된 제 2 가열 엘리먼트를 포함할 수도 있다. 플라즈마 프로세싱 시스템은 가열 엘리먼트들에 전력공급하기 위한 AC (alternating current) 전력 공급부와 같은 전력 공급부를 더 포함할 수도 있다. AC 회로는 페데스탈을 통해 ESC의 가열기들로 전력을 공급한다. 당업자에게 이해되는 바와 같이, ESC 가열기들은 궁극적으로 기판 상에서 보다 우수한 균일도 및 에칭 레이트들을 야기하는 프로세스의 온도 프로파일을 유지하도록 중요한 제어를 제공한다.
특정한 예에서, ESC 페데스탈은 RF 신호, AC 신호, 및 DC 신호를 페데스탈의 스템의 니켈 로드들 (rods) 을 통해 ESC의 세라믹 상단 플레이트에 임베딩되는 (embedded) 그리드들로 송신한다. 이들 신호들은 ESC 상에 장착되는 동안 기판을 상승 또는 하강시키도록 사용된 가동성 (movable) 브라켓과 페데스탈 사이의 인터페이스로서 역할을 하는 튜브 어댑터 부분을 통해 통과한다. 튜브 어댑터는 또한 접지 평면으로서 역할을 한다. 본 명세서에 논의된 바와 같이, 페데스탈 설계에 사용된 개시된 주제의 다양한 실시예들은 접지 평면으로부터 RF 신호, AC 신호, 및 DC 신호를 분리하도록 다양한 기하구조들의 유전체-코팅된 내부 표면들 및 갭들을 갖는 절연 튜브들, 튜브 어댑터들을 사용하여, 아킹을 방지한다. 본 명세서에 개시된 발명의 주제는 플라즈마 기반 프로세싱 챔버를 참조하여 기술된다.
이제 도 1을 참조하면, 플라즈마-기반 프로세싱 챔버의 간략화된 예가 도시된다. 도 1은 샤워헤드 전극 (103) 및 기판 지지부 어셈블리 (107A) 가 배치되는 플라즈마-기반 프로세싱 챔버 (101A) 를 포함하는 것으로 도시된다. 통상적으로, 기판 지지부 어셈블리 (107A) 는 실질적으로 등온 표면을 제공하고 기판 (105) 에 대한 열 싱크 및 가열 엘리먼트 모두로 역할할 수도 있다. 기판 지지부 어셈블리 (107A) 는 상기 기술된 바와 같이 기판 (105) 을 프로세싱하는 것을 돕기 위해, 가열 엘리먼트들이 포함되는 ESC를 포함할 수도 있다. 당업자에게 이해되는 바와 같이, 기판 (105) 은 원소적 반도체들 (예를 들어, 실리콘 또는 게르마늄) 을 포함하는 웨이퍼, 화합물 원소들 (예를 들어, 갈륨 비소 (GaAs) 또는 갈륨 나이트라이드 (GaN)) 을 포함하는 웨이퍼, 또는 업계에 공지된 다양한 다른 기판 타입들 (도전성 기판, 반도전성 기판, 및 비도전성 기판을 포함함) 일 수도 있다.
동작시, 기판 (105) 은 로딩 포트 (109) 를 통해 기판 지지부 어셈블리 (107A) 상으로 로딩된다. 가스 라인 (113) 이 하나 이상의 프로세스 가스들을 샤워헤드 전극 (103) 으로 공급한다. 차례로, 샤워헤드 전극 (103) 은 하나 이상의 프로세스 가스들을 플라즈마-기반 프로세싱 챔버 (101A) 내로 전달한다. 하나 이상의 프로세스 가스들을 공급하기 위한 가스 소스 (111) 가 가스 라인 (113) 에 커플링된다. RF 전력 소스 (115) 가 샤워헤드 전극 (103) 에 커플링된다.
동작시, 플라즈마-기반 프로세싱 챔버 (101A) 는 진공 펌프 (117) 에 의해 배기된다. RF 전력은 샤워헤드 전극 (103) 과 기판 지지부 어셈블리 (107A) 내 또는 상에 포함된 하부 전극 (명시적으로 도시되지 않음) 사이에 용량성 커플링된다. 기판 지지부 어셈블리 (107A) 는 통상적으로 2 이상의 RF 주파수들로 공급된다. 예를 들어, 다양한 실시예들에서, RF 주파수들은 약 1 ㎒, 2 ㎒, 13.56 ㎒, 27 ㎒, 60 ㎒, 및 목표된다면 다른 주파수들의 적어도 하나의 주파수로부터 선택될 수도 있다. 그러나, 본 명세서에 제공된 개시를 읽고 이해하면, 당업자는 특정한 RF 주파수를 차단하거나 부분적으로 차단하기 위해 필요한 코일이 필요에 따라 설계될 수 있다는 것을 인식할 것이다. 따라서, 본 명세서에 논의된 특정한 주파수들은 단순히 이해의 용이성을 위해 제공된다. RF 전력은 기판 (105) 과 샤워헤드 전극 (103) 사이의 공간의 플라즈마로 하나 이상의 프로세스 가스들을 에너자이징 (energize) 하도록 사용된다. 당업자에게 공지된 바와 같이, 플라즈마는 기판 (105) 상에 다양한 층들 (미도시) 을 증착하는 것을 보조할 수 있다. 다른 적용예들에서, 플라즈마는 기판 (105) 상의 다양한 층들로 디바이스 피처들을 에칭하도록 사용될 수 있다. 상기 주지된 바와 같이, 기판 지지부 어셈블리 (107A) 는 가열기들 (미도시) 를 내부에 통합할 수도 있다. 당업자는 플라즈마-기반 프로세싱 챔버 (101A) 의 상세한 설계가 가변할 수도 있지만, RF 전력은 적어도 기판 지지부 어셈블리 (107A) 를 통해 커플링된다는 것을 인식할 것이다.
도 2를 이제 참조하면, 도 1의 플라즈마-기반 프로세싱 시스템의 몇몇 컴포넌트들을 예시하는 3D (three-dimensional) 표현이 도시된다. 도 1의 플라즈마-기반 프로세싱 챔버 (101A) 의 챔버 부분 (101B) 이 RF-필터 인클로저 (201), AC 커넥터 (203), 전력 케이블 (205), 및 RF 전력 피드 케이블 (207) 을 포함하는 것으로 도시된다. 도 1의 기판 지지부 어셈블리 (107A) 의 최상위 부분 (107B) 이 또한 도시된다. 상기 주지된 바와 같이, 기판 지지부 어셈블리 (107A) 는 ESC일 수도 있다.
다양한 실시예들에서, ESC는 기판 지지부 어셈블리 (107A) 의 최상위 부분 (107B) 상의 2-존들에서 튜닝가능한 온도 제어를 할 수 있는 TESC (tunable ESC) 일 수도 있다. ESC의 온도 튜닝 능력은 기판 (105) 에 인접한, ESC의 최상위 부분 아래에 임베딩된 2 개의 (도 1의 기판 지지부 어셈블리 (107A) 에 점선들로 도시된) 전기 가열 엘리먼트들을 구현함으로써 달성될 수도 있다. 2-존 TESC의 경우, 일 전기 가열 엘리먼트가 2 존들 각각에 대해 구현된다.
전기 가열 엘리먼트들은 RF-필터 인클로저 (201) 및 전력 케이블 (205) 을 통해 AC 커넥터 (203) 를 통해 AC 전력 공급부 (도면들에는 미도시) 에 의해 공급된 AC에 의해 전력공급될 수도 있다. RF-필터 인클로저 (201) 는 또한 RF 전력이 전기 가열 엘리먼트들로 전달되는 것을 방지하거나 감소시키기 위해 (도시되지 않지만 당업계에 공지된) RF 필터를 포함한다. 전기 가열 엘리먼트들 각각의 온도는 당업계에 공지된 기법들에 의해 제어될 수도 있다.
도 1 및 도 2를 동시에 참조하면, 플라즈마-기반 프로세싱 챔버 (101A) 의 동작 동안, RF 전력은 RF 전력 소스 (115) 로부터 RF 전력 피드 케이블 (207) (도 1에 미도시) 을 통해, 기판 지지부 어셈블리 (107A) (ESC) 로, 뿐만 아니라 샤워헤드 전극 (103) 으로 공급된다. 따라서 ESC는 하부 전극으로서 역할한다. 등전위장 (equipotential field) 라인들이 기판 (105) 과 샤워헤드 전극 (103) 사이에서 기판 (105) 위에 설정된다. 플라즈마 프로세싱 동안, 양이온들이 등전위장 라인들을 가로질러 기판 (105) 의 표면 상에 충돌하도록 (impinge) 가속화되어, 목표된 에칭 효과, 예컨대 에칭 지향성 개선을 제공한다 (당업자는 에칭과 반대되는 막 증착을 위해 요구되는 임의의 적절한 수정들을 인식할 것이다).
이제 도 3a를 참조하면, 정전 척 (ESC) 어셈블리 (300) 의 일 예의 단면도가 도시된다. ESC 어셈블리 (300) 는 상기 기술된 바와 같이 기판 (105) 을 지지하는 상단 플레이트 (301), 페데스탈 (303), 및 튜브 어댑터 (307) 를 포함한다. 페데스탈 (303) 및 튜브 어댑터 (307) 는 일반적으로 볼트 연결된 플랜지 (305) 에 의 해 함께 고정된다. O-링 (315), 또는 당업계에 공지된 다른 타입의 기계적 가스켓 또는 시일링 디바이스가 대기압 (ESC 어셈블리 (300) 내부) 이 진공 분위기 (ESC 어셈블리 (300) 외부) 에 의해 압력이 풀링다운되는 (pull down) 것을 방지한다.
튜브 부분은 플라즈마 기반 프로세싱 시스템 내에서 직면하는 상대적으로 고온 (예를 들어, 온도가 대략 250 ℃일 수도 있는) 을 견딜 수 있는, 다양한 타입들의 금속들 (예를 들어, 알루미늄 (Al) 및 스테인리스 스틸 (예를 들어, 316L 합금) 또는 비금속들과 같은, 당업자에게 공지된 다수의 재료들로 형성될 수도 있다. 특정한 예시적인 실시예에서, 튜브 부분은 내측 부분 상의 절연 코팅을 갖고 알루미늄으로 형성된다 (이하에서, 도 3b와 관련하여 도시되고 기술됨).
튜브 어댑터 (307) 내에서, 3 개의 절연 튜브들 (309A, 309B, 309C) 이 도시되고, 이를 통해 예를 들어, RF 신호 전극들이 상단 플레이트 (301) 로 이동한다. 당업자는 ESC 어셈블리의 특정한 구현예에 기초하여, 보다 많은 수 또는 보다 적은 수의 절연 튜브들이 사용될 수도 있다는 것을 인식할 것이다.
절연 튜브들 (309A, 309B, 309C) 은 당업계에 공지된 다수의 절연 재료들, 예컨대 다양한 타입들의 폴리머들을 포함할 수도 있고, 플라즈마 기반 프로세싱 시스템 내에서 직면하는 상대적으로 고온 (예를 들어, 온도가 대략 250 ℃일 수도 있는) 에서 구조적 무결성을 유지하도록 선택되는 재료를 구비할 수도 있다. 또한, 유전체 재료는 상승된 온도들 및 전압들의 존재 하에 시간에 따라 실질적으로 변함없이 남아 있는 유전 강도를 갖도록 선택되어야 한다 (이하의 표 I과 관련하여 기술됨). 특정한 예시적인 실시예에서, 절연 튜브들 (309A, 309B, 309C) 은 폴리이미드를 포함한다 (예컨대 Kapton®, 이미드 모노머들의 폴리머). Kapton®는 1007 Market Street, Wilmington, Delaware, USA 소재의 E. I. du Pont de Nemours and Company로부터 입수가능하다.
절연 튜브들 (309A, 309B, 309C) 은 하부 세퍼레이터 슬리브들 (313B) 에 의해 분리되고 제자리에 홀딩된다. 이들 슬리브들은, 다양한 타입들의 비도전 세라믹들과 같은, 다수의 유전체 재료들로 형성될 수도 있다. 예를 들어, 특정한 예시적인 실시예에서, 하부 세퍼레이터 슬리브들 (313B) 은 Macor®로부터 형성된다. Macor®는 1 Riverfront Plaza, Corning, New York, USA 소재의 Corning Incorporated로부터 입수가능한 가공가능한 유리 세라믹이다.
특정한 예시적인 실시예에서, 하부 세퍼레이터 슬리브들 (313B) 은 절연 튜브들 (309A, 309B, 309C) 이 ESC 어셈블리 (300) 의 정례적인 유지보수 또는 수리 동작들 동안 용이하게 제거되거나 삽입될 수도 있도록 사이즈가 결정된다.
튜브 어댑터 (307) 는 또한 AC 가열기 배선들 (311A, 311B) 및 열적 초크들 (325A, 325B, 325C) 을 포함하는 것으로 도시된다. ESC 어셈블리는 또한 예를 들어, 고전압 신호들을 반송하도록 구성된 다수의 도전성 로드들 (323A, 323B, 323C) 을 포함하는 것으로 도시된다.
특정한 예시적인 실시예에서, 열적 초크들 (325A, 325B, 325C) 은 예를 들어, 금 도금된 Inconel (오스테나이트 (austenitic), 니켈-크롬 기반 합금) 을 포함할 수도 있다. Inconel을 포함하는 컴포넌트들은 광범위한 온도들에 걸쳐 자신의 형상 및 강도를 지속하지만, 알루미늄 및 스틸과 같은 다른 금속-기반 컴포넌트들이 상승된 온도들에서 크리프하거나 (creep) 달리 변형될 수도 있다.
또한, 다양한 실시예들에서, 다수의 도전성 로드들 (323A, 323B, 323C) 은 예를 들어, 니켈을 포함할 수도 있다. 다수의 도전성 로드들 (323A, 323B, 323C) 은 스템 내부인 페데스탈 (303) 의 일부를 형성하는, 로드 지지부들 (313A) 에 의해 지지된다. 다양한 실시예들에서, 로드 지지부들 (313A) 은 예를 들어, 알루미나 (Al2O3) 를 포함할 수도 있다.
튜브 어댑터 (307) 는 또한 적어도 하나의 가스 퍼지 라인 (절연 튜브들 중 중간의 절연 튜브 (309B) 에 의해 눈에 띄지 않게 되기 때문에 미도시) 을 포함한다. 튜브 어댑터 (307) 는 또한 다른 전력 공급 라인들을 포함할 수도 있다. 가스 퍼지 라인들은 예를 들어, 황동 또는 스테인리스 스틸일 수도 있다. 그러나, 이들 재료들은 동시대의 ESC 디바이스에 현재 사용되는 것과 동일할 수 있고 따라서 개시된 주제의 관점에서 수정되거나 변화될 필요 없다. 황동은 비철 (non-ferrous) 재료여서 가까운 RF 신호들이 퍼지 가스 라인에 영향을 주지 않을 것이다. 적어도 하나의 가스 퍼지 라인은 상기 주지된 바와 같이 질소 (N2) 또는 아르곤 (Ar) 과 같은 다양한 가스들을 반송할 수도 있다.
전체적으로, ESC 어셈블리 내에서 직면할 수도 있는 다양한 전압들의 예가 이하의 표 I에 정리된다.
전압 타입 RMS 전압 피크 전압
HFmax 500 V 707 V
LFmax 800 V 1131 V
ESC 클램핑 전압max 1000 VDC NA
표 I에 도시된 다양한 전압들을 조합함으로써, 다음과 같이 ESC의 총 작동 전압에 도달할 수 있다:
ESCworking voltage = 707 V + 1131 V + 1000 V
ESCworking voltage = 2838 V
따라서, ESC 어셈블리 내 아킹을 방지하기 위해, 간격 (clearance) 명세가 예를 들어, 미리 결정된 상대적인 습도의 에어에 기초하여 당업자에 의해 결정될 수도 있다. I에 의해 공급된 예시적인 전압들에 기초한 일 예에서, 아킹을 방지하기 위한 간격 명세는 약 394 V/㎜ (예를 들어, 대략 10 V/mil, 1 mil = 0.001 인치), 혼합된 주파수이다. 따라서, 간격 명세를 앎으로써 당업자는 또한 에어 갭 (air gap) 을 다음과 같이 계산할 수도 있다 (도 3f와 관련하여 도시되고 기술됨):
2838 V/(394 V/㎜ = 7.2 ㎜ (약 284 mil 또는 0.284 인치)
또한, 다양한 재료들의 유전 강도를 앎으로써, 당업자는 재료에 대해 요구된 최소 두께를 결정할 수 있다. 예를 들어, 폴리이미드는 약 118 V/㎜ (대략 3000 V/mil) 의 유전 강도를 갖는다. 비교하면, 알루미늄 상의 단단한 양극산화된 코팅은 약 25 V/㎜ (대략 640 V/mil) 이다. 미리 결정된 재료 또는 코팅에 대해 작동 전압 및 유전 강도 각각을 앎으로써, 당업자는 특정한 적용예를 위한 최소 두께를 결정할 수 있다.
당업자는 ESC 어셈블리 내에서 직면하는 실제 전압들이 미리 결정된 설계 요건들에 따라 가변할 수도 있다는 것이 인식될 것이다. 따라서, 표 I은 본 명세서에 기술된 다양한 실시예들의 관점에서 개시된 주제의 보다 우수한 이해를 제공하도록 단순히 직면한 전압들의 예들을 제공하는 것으로 간주된다.
또한 당업자에게 공지된 바와 같이, 모든 박형의 코팅되거나 양극산화된 층들이 아래에 놓인 재료 (예를 들어, 튜브 어댑터 (307) 의 다양한 에지들 및 모서리들) 의 매우 박형의 또는 커버되지 않은 부분이 발생되는 것을 방지하기 위해, 뾰족한 모서리들 및 에지들에서 무너진 (예를 들어, 라운딩된) 반경을 가져야 한다. 또한, 박형의 코팅되고 양극산화된 층들은, 이를 통해 아킹이 발생될 수 있는, 균열들을 방지하거나 감소시키도록 형성되어야 한다.
이제 도 3b를 참조하면, 도 3a의 ESC 어셈블리의 튜브 어댑터 부분 (310) 의 일 예의 단면도이다. 도 3a를 참조하여 상기 기술된 바와 같이, 유전체 코팅 (317) 은 튜브 어댑터 (307) 의 내부 부분 상에 증착되거나 달리 형성될 수도 있다. 상기 주지된 바와 같이, 유전체 코팅은 예를 들어, 단단한 양극산화 코팅 또는 폴리이미드 코팅을 포함할 수도 있다. 당업자는 또한 튜브 어댑터 (307) 가 열적 분위기의 변화들을 겪을 때 임의의 플레이킹 (flaking) 또는 입자 쉐딩이 발생할 수도 있는지 여부에 대한 결정을 하기 위해, 튜브 어댑터 (307) 와 유전체 코팅 (317) 사이의 열 팽창 계수 (CTE) 의 차들을 고려할 수도 있다. 예를 들어, 유전체 코팅에 대한 CTE 및 튜브 어댑터 (307) 가 형성되는 재료에 대한 CTE는 실질적으로 유사하도록 선택될 수 있다. CTE 수 및 관련된 계산들은 당업계에 공지되었다.
다양한 실시예들에서, 확대된 갭 부분 (319) 은 예를 들어, 확대된 에어 갭을 형성함으로써 아킹을 제거하도록 도전성 로드들 (323A, 323B, 323C) 중 바깥쪽 도전성 로드에 근접하게 형성될 수도 있다. 확대된 갭 부분 (319) 의 사이즈에 대한 간격 명세의 결정은 도 3a를 참조하여 상기 논의되었고 확대된 갭 부분 (319) 내에 있는 선택된 재료 (예를 들어, 에어) 의 유전체-분해 (breakdown) 강도에 부분적으로 종속된다.
O-링 (315) 은 (프로세싱 동작 동안 일부 레벨의 진공에서) 튜브 어댑터 (307) 외부보다 튜브 어댑터 (307) 내에서 보다 높은 압력으로 인해, 외측으로 가압되기 (force) 때문에, O-링 (315) 은 튜브 어댑터 (307) 의 주변 (외측) 벽들에 대고 확대된 갭 부분 (319) 으로부터 멀어지게 푸시된다 (push). 따라서, 확대된 갭 부분 (319) 의 사이즈의 상승은 O-링 (315) 에 의해 제공된 시일링의 무결성을 완화시키지 않는다.
도 3c는 도 3b의 튜브 어댑터 부분의 상단 부분 (320) 의 3D 표현을 도시한다. 튜브 어댑터 (307) 의 절단된 부분은 튜브 어댑터 (307) 내에서 표준 직경으로부터 증가되는 확대된 갭 부분 (319) 의 치수를 도시한다. 상기 주지된 바와 같이, 확대된 갭 부분 (319) 은 아킹을 감소 또는 제거 (예를 들어, 제한) 하도록 도전성 로드들 (323A, 323B, 323C) 중 바깥쪽 도전성 로드에 근접하게 형성된다. 명시적으로 도시되지 않지만, 확대된 갭 부분 (319) 은 에어보다 높은 유전체-분해 강도를 갖는 또 다른 재료의 삽입에 의해 보충되거나 교체될 수도 있다. 이러한 재료들은 본 명세서에 기술되고 그렇지 않으면 당업계에 공지된다.
도 3d는 도 3b의 튜브 어댑터 (307) 부분의 하부 세퍼레이터 슬리브들 (313B) 중 하나의 상부 부분 (330) 의 3D 표현을 도시한다. 하부 세퍼레이터 슬리브 (313B) 가 상기 논의된 바와 같이 프로세싱 분위기로 인해 열의 상승을 경험할 때, CTE로 인해, 크리피지 (creepage) 거리의 증가의 결정. 크리피지 거리의 증가는 라인 (321) 에 의해 나타낸다. 라인 (321) 은 열적 초크들 (325A, 325B, 325C) 과 튜브 어댑터 (307) 의 내측 벽 (예를 들어, 도 3b 참조) 사이의 크리피지 거리를 나타낸다. 크리피지 거리의 증가의 결정은 컴포넌트들이 가열될 때에도 간격 명세가 유지되어야 하는지 여부의 체크를 제공한다. 상이한 재료들에 대한 미리 결정된 CTE, 또는 CTE들에 대해 크리피지 거리의 증가의 결정에 대한 이러한 계산들은 관련 분야에 공지되었다.
도 3e를 이제 참조하면, 도 3b의 시일링 O-링 (315) 근방의 튜브 어댑터 부분의 상부 부분의 단면도 (340) 가 도시된다. 단면도 (340) 는 확대된 갭 부분 (319) (도 3c 참조) 에 의해 형성된 에어 갭 (331) 을 도시한다. 이 실시예에서, 에어 갭 (331) 은 유전체 (절연체) 재료로서 에어에 의존한다. 그러나, 당업자는 다른 유전체 재료들이 사용될 수도 있다는 것이 인식될 것이다. 예를 들어, 그리고 상기 기술된 바와 같이, 튜브 어댑터 (307) 의 내측 표면들 상에 형성된 양극산화된 층은 유전체 코팅 (317) (도 3b 참조) 에 대한 유전체 층을 제공한다. 이에 더하여 또는 다른 실시예들에서, 폴리이미드 또는 다른 절연성 재료가 튜브 어댑터 (307) 의 내측 표면들 상에 형성될 수도 있다. 이에 더하여 또는 다른 실시예들에서, 에어 갭 (331) 의 사이즈는 전압 반송 전극들 중 임의의 하나 (예를 들어, 예컨대 도전성 로드들 (323A, 323B, 323C) 중 바깥쪽 도전성 로드 내에 포함된 전극들) 와 전극들 및 로드 지지부들 (313A) 에 근접한 튜브 어댑터 (307) 의 부분들 사이에 모든 잠재적인 아킹을 감소시키거나 제거함으로써 증가된다.
도 3f는 도 3b의 튜브 어댑터 부분의 중앙 부분의 단면도 (350) 를 도시한다. 도 3b를 참조하여 상기 주지된 바와 같이, O-링 (315) 은 튜브 어댑터 (307) 외부 (프로세싱 동작 동안 일부 레벨의 진공) 보다 튜브 어댑터 (307) 내에서 보다 높은 압력으로 인해 외측으로 가압된다. 결과적으로, O-링 (315) 은 튜브 어댑터 (307) 의 주변 (외측) 벽들에 대고 확대된 갭 부분 (319) 으로부터 멀어지게 그리고 로드 지지부들 (313A) 과 O-링 (315) 사이의 공간 (333) 으로부터 멀어지게 푸시된다. 따라서, 확대된 갭 부분 (319) 의 사이즈의 증가는 프로세싱 시스템의 진공 부분과 대기압 부분 사이의 O-링 (315) 에 의해 제공된 시일링의 무결성을 완화시키지 않는다.
도 3g는 도 3b의 튜브 어댑터 부분 (310) 의 하부 부분의 단면도 (360) 를 도시한다. 벨로우즈 (335) 가 프로세싱 동작들 동안 실질적으로 대기압의 ESC 어셈블리 (300) 의 내측 부분과 일부 레벨의 진공의 ESC 어셈블리 (300) 의 외부 부분 사이의 압력 차를 유지한다. 단면도 (360) 는 절연 튜브들 (309A, 309B, 309C), 및 AC 가열기 배선들 (311A, 311B) 의 위치들을 당업자가 보다 용이하게 식별하도록 제공된다. 또한, 단면도 (360) 는 모든 변화들이 ESC 어셈블리 (300) (도 3a) 의 내부 볼륨 상, 특히 튜브 어댑터 (307) (예를 들어, 도 3b 참조) 내에서 이루어지기 때문에, ESC 어셈블리 (300) 로부터 모든 외부 치수들 및 연결들이 유지되기 때문에, 본 명세서에 개시된 다양한 실시예들은 기존의 플라즈마 기반 프로세싱 시스템 또는 다른 기판-프로세싱 시스템 내로 용이하게 개장될 (retrofit) 수도 있다는 것을 예시하도록 역할을 한다. 따라서, 기존 프로세싱 시스템에 대한 수정들이 발생할 필요가 없다.
본 명세서에서 주지된 바와 같이, 에어 갭 (331) 및 절연 튜브들 (309A, 309B, 309C) 은 접지된 부분들 (예를 들어, 튜브 어댑터 (307) 의 접지된 부분들) 로부터 절연 튜브들 (309A, 309B, 309C) 내 그리고 근접한 고전압 라인들을 절연한다. 따라서, 절연 튜브들 (309A, 309B, 309C) 은 일반적으로 HV 라인들과 접지된 표면들 사이에 아킹이 더 이상 발생될 수 없는 지점 (예를 들어, 튜브 어댑터 (307) 의 하부 부분의 말단) 까지 연장한다. 이 지점은 도 3a를 참조하여 상기 제공된 계산들에 기초하여 결정될 수 있다.
도 4는 도 3a의 ESC 어셈블리의 3D 단면도를 도시한다. 벨로우즈 (335) 는 ESC 어셈블리 (300) (도 3a 참조) 로 하여금 가동성이 되게 하여, 벨로우즈 (335) 아래에 위치된 가동성 브라켓 부분에 대해 장착된 기판 (105) (도 1 참조) 을 이동시킨다.
전체적으로, 본 명세서에 도시되고 기술된 바와 같이, 도 3a의 ESC 어셈블리 (300) 내로 통합된 개시된 주제는 접지 평면으로부터 고전압 전기 신호들을 분리하기 위해 개시된 주제에 의해 기술된 다양한 실시예들을 사용할 수도 있다.
본 명세서에 도시되고 기술된 바와 같은 구체적인 예시적인 실시예들에서, 일 HV 분리 기법은 RF 신호 전극들 각각의 절연 배선들로의 접속 전에 RF 신호들의 분리를 허용하는 RF 신호 전극들을 봉지하기 (enclose) 위해 폴리이미드 튜브들 (예를 들어, 절연 튜브들 (309A, 309B, 309C)) 을 사용한다. 폴리이미드의 사용은 매우 우수한 절연체이고 이 위치에서 고온을 견딜 수 있기 때문에 이 적용예를 위해 적절하다.
또 다른 예시적인 실시예는 튜브 어댑터 (307) 의 내측 표면 상에 폴리이미드 코팅 처리 또는 단단한 양극산화된 표면을 채용하는 HV 분리 기법을 사용한다. 표면 처리 (예를 들어, 도 3b의 유전체 코팅 (317)) 는 HV 전극들과 접지 평면 (예를 들어, 튜브 어댑터 (307)) 사이의 전체 저항 및 분리를 제공한다.
또 다른 예시적인 실시예들은 (예를 들어, 절연 튜브들 (309A, 309B, 309C) 중 바깥쪽 절연 튜브들) 과 접지 평면 (예를 들어, 튜브 어댑터 (307)) 사이에 추가 분리를 제공하는, 상부 튜브 어댑터 기하구조 (예를 들어, 도 3c의 확대된 갭 부분들 (319)) 에 하나 이상의 컷아웃들을 채용하는 HV 분리 기법을 사용한다.
더욱이, 모든 변화들이 ESC 어셈블리 (300) 의 내부 볼륨 상에서, 특히 튜브 어댑터 (307) 내에서 이루어지기 때문에 (도 3a 참조), ESC 어셈블리 (300) 로부터 모든 외부 치수들 및 연결들이 유지되기 때문에, 본 명세서에 개시된 다양한 실시예들은 기존의 플라즈마 기반 프로세싱 시스템 또는 다른 기판-프로세싱 시스템 내로 용이하게 개장될 수도 있다는 것을 예시하도록 역할을 한다. 따라서, 기존 프로세싱 시스템에 대한 수정들이 발생할 필요가 없다.
따라서, 상기 기술은 개시된 주제를 구현하는 예시적인 예들, 디바이스들, 시스템들, 및 방법들을 포함한다. 이 기술에서, 설명의 목적들을 위해, 다수의 구체적인 상세들이 개시된 주제의 다양한 실시예들의 이해를 제공하기 위해 언급되었다. 그러나, 이 주제의 다양한 실시예들이 이들 구체적인 상세들 없이 실시될 수도 있다는 것이 당업자에게 명백할 것이다. 또한, 공지의 구조들, 재료들 및 기법들은 다양한 예시된 실시예들을 모호하게 하지 않도록, 상세히 기술되지 않았다.
본 명세서에 사용된 바와 같이, 용어 “또는 (or)”은 포괄적이거나 배타적인 의미로 해석될 수도 있다. 또한, 제공된 개시를 읽고 이해하면 다른 실시예들이 당업자에 의해 이해될 것이다. 또한, 본 명세서에 제공된 개시를 읽고 이해하면, 당업자는 본 명세서에 제공된 기법들 및 예들의 다양한 조합들이 모두 다양한 조합들에 적용될 수도 있다는 것이 당업자에게 용이하게 이해될 것이다.
다양한 실시예들이 별도로 논의되었지만, 이들 별도 실시예들은 독립된 기법들 또는 설계들로 간주되도록 의도되지 않는다. 상기 나타낸 바와 같이, 다양한 부분들 각각은 상호 관련될 수도 있고 각각은 별도로 또는 본 명세서에 논의된 다른 ESC 실시예들과 조합하여 사용될 수도 있다.
결과적으로, 많은 수정들 및 변동들이 이루어질 수 있고, 또한 본 명세서에 제공된 개시를 읽고 이해하면 당업자에게 자명할 것이다. 본 명세서에 열거된 것들에 더하여, 본 개시의 범위 내의 기능적으로 등가인 방법들 및 디바이스들은 전술한 기술들로부터 당업자에게 자명할 것이다. 일부 실시예들의 부분들 및 피처들은 다른 것들에 포함되거나 치환될 수도 있다. 이러한 수정들 및 변동들은 첨부된 청구항들의 범위 내에 속하도록 의도된다. 따라서, 본 개시는 첨부된 청구항들의 면에서만, 이러한 청구항들이 포괄하는 등가물들의 전체 범위와 함께, 제한된다. 이는 또한 본 명세서에 사용된 용어가 특정한 실시예들만을 기술할 목적이고 그리고 제한하도록 의도되지 않는다는 것이 이해되어야 한다.
본 개시의 요약은 독자로 하여금 기술적 개시의 본질을 신속하게 확인하게 하도록 제공된다. 요약은 청구항들을 해석하거나 제한하도록 사용되지 않을 것이라는 이해와 함께 제출되었다. 이에 더하여, 전술한 상세한 기술에서, 다양한 피처들이 개시의 간소화를 목적으로 단일 실시예에 함께 그룹화될 수도 있다고 볼 수도 있다. 이 개시의 방법은 청구항들을 제한하는 것으로 해석되지 않아야 한다. 따라서, 이하의 청구항들은 본 명세서에서, 청구항 각각이 별도의 실시예들로서 독립적으로 상세한 기술에 통합된다.

Claims (29)

  1. 플라즈마 기반 프로세싱 시스템의 정전 척 (electrostatic chuck; ESC) 어셈블리에서 사용하기 위한 튜브 어댑터 부분에 있어서,
    튜브 어댑터 부분의 내측 표면 상에 형성되고 플라즈마 기반 프로세싱 시스템의 동작 동안 상기 튜브 어댑터 부분 내의 고 전압 전극들과 상기 튜브 어댑터 부분의 메인 바디 사이에 아킹 (arcing) 을 방지하도록 구성된 유전체 코팅으로서, 상기 유전체 코팅은 상기 튜브 어댑터 부분이 동작하는 열적 분위기의 변화들로 인한 입자 쉐딩 (particle shedding) 을 방지하도록 선택되는 열 팽창 계수 (coefficient-of-thermal-expansion; CTE) 를 갖는, 상기 유전체 코팅을 포함하는, 튜브 어댑터 부분.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 유전체 코팅은 단단한 양극산화 코팅인, 튜브 어댑터 부분.
  4. 제 1 항에 있어서,
    상기 유전체 코팅은 폴리이미드 코팅인, 튜브 어댑터 부분.
  5. 제 1 항에 있어서,
    상기 ESC 어셈블리는 상기 튜브 어댑터 부분에 기계적으로 커플링되는 페데스탈을 더 포함하는, 튜브 어댑터 부분.
  6. 제 5 항에 있어서,
    상기 튜브 어댑터 부분은 기존 플라즈마 기반 프로세싱 시스템의 상기 페데스탈로 개장되도록 (retrofit) 구성되는, 튜브 어댑터 부분.
  7. 제 1 항에 있어서,
    상기 튜브 어댑터 부분 내 복수의 절연 튜브들을 더 포함하고, 상기 복수의 절연 튜브들 각각은 내부에 봉지될 (enclosed) 복수의 RF (radio-frequency) 신호 전극들 중 하나를 수용하도록 구성되고, 상기 복수의 절연 튜브들 각각은 상기 플라즈마 기반 프로세싱 시스템의 동작 동안 내부에 봉지된 상기 RF 신호 전극들의 각각의 전극과 상기 튜브 어댑터 부분의 메인 바디 사이에 아킹을 방지하도록 구성되는, 튜브 어댑터 부분.
  8. 삭제
  9. 삭제
  10. 삭제
  11. 삭제
  12. 삭제
  13. 삭제
  14. 삭제
  15. 삭제
  16. 삭제
  17. 삭제
  18. 플라즈마 기반 프로세싱 시스템의 ESC 어셈블리에서 사용하기 위한 튜브 어댑터 부분에 있어서,
    튜브 어댑터 부분 내 복수의 절연 튜브들로서, 상기 복수의 절연 튜브들 각각은 각각의 도전성 로드에 커플링되도록 구성되고, 상기 복수의 절연 튜브들 각각은 상기 복수의 절연 튜브들의 각각의 절연 튜브 내 고전압 라인과 상기 튜브 어댑터 부분의 접지된 표면들 사이에서 아킹이 발생할 수 없는 상기 튜브 어댑터 부분의 말단까지 적어도 연장되는, 상기 복수의 절연 튜브들; 및
    상기 도전성 로드들 중 바깥쪽 도전성 로드들에 근접하고, 상기 복수의 절연 튜브들 위에 있는 상기 튜브 어댑터 부분의 확대된 갭 부분으로서, 상기 확대된 갭 부분의 치수는 상기 플라즈마 기반 프로세싱 시스템의 동작 동안 상기 도전성 로드들의 각각의 도전성 로드에 의해 반송된 고전압 신호와 상기 튜브 어댑터 부분의 메인 바디 사이에 아킹을 방지하도록 구성되는, 상기 확대된 갭 부분을 포함하는, 튜브 어댑터 부분.
  19. 제 18 항에 있어서,
    상기 ESC 어셈블리는 상기 튜브 어댑터 부분에 기계적으로 커플링되는 페데스탈을 더 포함하는, 튜브 어댑터 부분.
  20. 제 19 항에 있어서,
    상기 튜브 어댑터 부분은 기존 플라즈마 기반 프로세싱 시스템의 상기 페데스탈로 개장하도록 구성되는, 튜브 어댑터 부분.
  21. 삭제
  22. 삭제
  23. 삭제
  24. 삭제
  25. 삭제
  26. 삭제
  27. 삭제
  28. 삭제
  29. 삭제
KR1020207025028A 2018-01-31 2019-01-30 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리 KR102655866B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020247011276A KR20240050466A (ko) 2018-01-31 2019-01-30 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862624619P 2018-01-31 2018-01-31
US62/624,619 2018-01-31
PCT/US2019/015865 WO2019152528A1 (en) 2018-01-31 2019-01-30 Electrostatic chuck (esc) pedestal voltage isolation

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020247011276A Division KR20240050466A (ko) 2018-01-31 2019-01-30 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리

Publications (2)

Publication Number Publication Date
KR20200106091A KR20200106091A (ko) 2020-09-10
KR102655866B1 true KR102655866B1 (ko) 2024-04-05

Family

ID=67478925

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020247011276A KR20240050466A (ko) 2018-01-31 2019-01-30 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리
KR1020207025028A KR102655866B1 (ko) 2018-01-31 2019-01-30 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리

Family Applications Before (1)

Application Number Title Priority Date Filing Date
KR1020247011276A KR20240050466A (ko) 2018-01-31 2019-01-30 정전 척 (electrostatic chuck, ESC) 페데스탈 전압 분리

Country Status (5)

Country Link
US (1) US11990360B2 (ko)
JP (2) JP7374103B2 (ko)
KR (2) KR20240050466A (ko)
CN (1) CN111670491A (ko)
WO (1) WO2019152528A1 (ko)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030051665A1 (en) * 1997-02-12 2003-03-20 Jun Zhao High temperature ceramic heater assembly with rf capability
US20060005930A1 (en) * 2003-03-12 2006-01-12 Tokyo Electron Limited Substrate supporting structure for semiconductor processing, and plasma processing device
JP4034145B2 (ja) * 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US20140087587A1 (en) * 2012-09-21 2014-03-27 Novellus Systems, Inc. High Temperature Electrode Connections

Family Cites Families (183)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2491167A (en) 1946-03-19 1949-12-13 Charles E Drew Collet chuck
US2684698A (en) 1950-06-01 1954-07-27 Keller Tool Co Tool for running threaded fastening elements
US3895832A (en) 1974-05-13 1975-07-22 Mueller Co Collet compression connection
US4552430A (en) 1982-09-30 1985-11-12 Myers Electric Products, Inc. Connector head for electric terminal box
US4692836A (en) 1983-10-31 1987-09-08 Toshiba Kikai Kabushiki Kaisha Electrostatic chucks
US4897853A (en) 1988-06-01 1990-01-30 King, Taudevin & Gregson (Holdings)Limited Refractory ceramic electrode
JPH06326175A (ja) * 1993-04-22 1994-11-25 Applied Materials Inc 集積回路処理装置において使用されるウエハサポートの誘電材への保護被覆とその形成方法
JPH07201496A (ja) 1993-12-29 1995-08-04 Matsushita Electric Ind Co Ltd プラズマ発生方法及びプラズマ発生装置
US5463526A (en) 1994-01-21 1995-10-31 Lam Research Corporation Hybrid electrostatic chuck
US5507874A (en) 1994-06-03 1996-04-16 Applied Materials, Inc. Method of cleaning of an electrostatic chuck in plasma reactors
JP3249696B2 (ja) 1994-11-28 2002-01-21 日新電機株式会社 静電チャックおよびその使用方法
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5633073A (en) 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JP3949186B2 (ja) 1995-12-25 2007-07-25 富士通株式会社 基板載置台、プラズマ処理装置及び半導体装置の製造方法
JP3847363B2 (ja) 1996-02-02 2006-11-22 富士通株式会社 半導体ウェハ処理装置及び半導体ウェハ処理方法
US5812362A (en) 1996-06-14 1998-09-22 Applied Materials, Inc. Method and apparatus for the use of diamond films as dielectric coatings on electrostatic chucks
TW334609B (en) 1996-09-19 1998-06-21 Hitachi Ltd Electrostatic chuck, method and device for processing sanyle use the same
US5829791A (en) 1996-09-20 1998-11-03 Bruker Instruments, Inc. Insulated double bayonet coupler for fluid recirculation apparatus
US6066836A (en) 1996-09-23 2000-05-23 Applied Materials, Inc. High temperature resistive heater for a process chamber
US5737178A (en) 1997-03-06 1998-04-07 Applied Materials, Inc. Monocrystalline ceramic coating having integral bonding interconnects for electrostatic chucks
TW422892B (en) 1997-03-27 2001-02-21 Applied Materials Inc Technique for improving chucking reproducibility
JP3624628B2 (ja) 1997-05-20 2005-03-02 東京エレクトロン株式会社 成膜方法及び成膜装置
US5841624A (en) 1997-06-09 1998-11-24 Applied Materials, Inc. Cover layer for a substrate support chuck and method of fabricating same
US6936153B1 (en) 1997-09-30 2005-08-30 Semitool, Inc. Semiconductor plating system workpiece support having workpiece-engaging electrode with pre-conditioned contact face
US6104596A (en) 1998-04-21 2000-08-15 Applied Materials, Inc. Apparatus for retaining a subtrate in a semiconductor wafer processing system and a method of fabricating same
US6081414A (en) 1998-05-01 2000-06-27 Applied Materials, Inc. Apparatus for improved biasing and retaining of a workpiece in a workpiece processing system
US6072685A (en) * 1998-05-22 2000-06-06 Applied Materials, Inc. Electrostatic chuck having an electrical connector with housing
US6221221B1 (en) 1998-11-16 2001-04-24 Applied Materials, Inc. Apparatus for providing RF return current path control in a semiconductor wafer processing system
US6151203A (en) 1998-12-14 2000-11-21 Applied Materials, Inc. Connectors for an electrostatic chuck and combination thereof
US6213478B1 (en) 1999-03-11 2001-04-10 Moore Epitaxial, Inc. Holding mechanism for a susceptor in a substrate processing reactor
US6466881B1 (en) * 1999-04-22 2002-10-15 Applied Materials Inc. Method for monitoring the quality of a protective coating in a reactor chamber
US6261977B1 (en) 1999-09-08 2001-07-17 United Microelectronics Corp. Method for preventing an electrostatic chuck from being corroded during a cleaning process
JP4028149B2 (ja) 2000-02-03 2007-12-26 日本碍子株式会社 加熱装置
JP4637316B2 (ja) 2000-02-24 2011-02-23 京セラ株式会社 筒状体を有するセラミックヒーター及びこれを用いた加熱装置
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US6403491B1 (en) 2000-11-01 2002-06-11 Applied Materials, Inc. Etch method using a dielectric etch chamber with expanded process window
JP3870824B2 (ja) 2001-09-11 2007-01-24 住友電気工業株式会社 被処理物保持体、半導体製造装置用サセプタおよび処理装置
JP2003124296A (ja) 2001-10-17 2003-04-25 Sumitomo Osaka Cement Co Ltd サセプタ及びその製造方法
US6879051B1 (en) 2002-01-16 2005-04-12 Advanced Micro Devices, Inc. Systems and methods to determine seed layer thickness of trench sidewalls
KR100511854B1 (ko) 2002-06-18 2005-09-02 아네르바 가부시키가이샤 정전 흡착 장치
JP3962661B2 (ja) 2002-08-30 2007-08-22 三菱重工業株式会社 静電チャック支持機構及び支持台装置及びプラズマ処理装置
EP1408140A1 (en) 2002-10-11 2004-04-14 STMicroelectronics S.r.l. A high-density plasma process for depositing a layer of Silicon Nitride
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100890493B1 (ko) 2003-04-18 2009-03-26 가부시키가이샤 히다치 고쿠사이 덴키 반도체 제조 장치
CN1310285C (zh) 2003-05-12 2007-04-11 东京毅力科创株式会社 处理装置
WO2004112123A1 (ja) 2003-06-17 2004-12-23 Creative Technology Corporation 双極型静電チャック
JP2005018992A (ja) 2003-06-23 2005-01-20 Ibiden Co Ltd プラズマ発生装置用電極埋設部材
JP2005072286A (ja) 2003-08-25 2005-03-17 Kyocera Corp 静電チャック
TWI236055B (en) 2003-09-05 2005-07-11 United Microelectronics Corp Plasma apparatus and method capable of adaptive impedance matching
US7824498B2 (en) 2004-02-24 2010-11-02 Applied Materials, Inc. Coating for reducing contamination of substrates during processing
WO2005091356A1 (ja) 2004-03-19 2005-09-29 Creative Technology Corporation 双極型静電チャック
JP2005285825A (ja) 2004-03-26 2005-10-13 Advantest Corp 静電チャック及び静電チャックによる基板固定方法
JP2005347620A (ja) 2004-06-04 2005-12-15 Tokyo Electron Ltd プラズマ処理装置及びプラズマ処理装置の載置台ユニット
US20050274324A1 (en) 2004-06-04 2005-12-15 Tokyo Electron Limited Plasma processing apparatus and mounting unit thereof
TW200612512A (en) 2004-06-28 2006-04-16 Ngk Insulators Ltd Substrate heating sapparatus
US7375946B2 (en) 2004-08-16 2008-05-20 Applied Materials, Inc. Method and apparatus for dechucking a substrate
JP4386360B2 (ja) 2004-12-06 2009-12-16 信越化学工業株式会社 静電チャック
US7430986B2 (en) 2005-03-18 2008-10-07 Lam Research Corporation Plasma confinement ring assemblies having reduced polymer deposition characteristics
JP2006302887A (ja) * 2005-04-20 2006-11-02 Ngk Insulators Ltd 給電部材及び加熱装置
JP4672597B2 (ja) * 2005-06-02 2011-04-20 日本碍子株式会社 基板処理装置
US9520276B2 (en) 2005-06-22 2016-12-13 Tokyo Electron Limited Electrode assembly and plasma processing apparatus
US7683289B2 (en) 2005-12-16 2010-03-23 Lam Research Corporation Apparatus and method for controlling plasma density profile
JP4381393B2 (ja) 2006-04-28 2009-12-09 信越化学工業株式会社 静電チャック
US8440049B2 (en) 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US20080009417A1 (en) 2006-07-05 2008-01-10 General Electric Company Coating composition, article, and associated method
US20080029032A1 (en) 2006-08-01 2008-02-07 Sun Jennifer Y Substrate support with protective layer for plasma resistance
US20080062609A1 (en) 2006-08-10 2008-03-13 Shinji Himori Electrostatic chuck device
US7619870B2 (en) 2006-08-10 2009-11-17 Tokyo Electron Limited Electrostatic chuck
JP4768699B2 (ja) * 2006-11-30 2011-09-07 キヤノンアネルバ株式会社 電力導入装置及び成膜方法
US20080167720A1 (en) 2007-01-08 2008-07-10 Warsaw Orthopedic, Inc. Expandable vertebral body replacement device
JP4903610B2 (ja) 2007-03-27 2012-03-28 東京エレクトロン株式会社 プラズマ処理装置
US8294069B2 (en) 2007-03-28 2012-10-23 Ngk Insulators, Ltd. Heating device for heating a wafer
JP5660753B2 (ja) 2007-07-13 2015-01-28 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマエッチング用高温カソード
US7625227B1 (en) 2007-07-31 2009-12-01 Agilent Technologies, Inc. High performance blind-mate connector
JP2009123929A (ja) 2007-11-15 2009-06-04 Tokyo Electron Ltd プラズマ処理装置
KR101415551B1 (ko) 2008-01-25 2014-07-04 (주)소슬 정전척, 이의 제조 방법 및 이를 포함하는 기판 처리 장치
JP4450106B1 (ja) 2008-03-11 2010-04-14 東京エレクトロン株式会社 載置台構造及び処理装置
JP5284153B2 (ja) 2008-03-21 2013-09-11 日本碍子株式会社 セラミックスヒータ
TWI475594B (zh) 2008-05-19 2015-03-01 Entegris Inc 靜電夾頭
WO2010019430A2 (en) 2008-08-12 2010-02-18 Applied Materials, Inc. Electrostatic chuck assembly
US20100104852A1 (en) 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
JP5513413B2 (ja) 2009-01-29 2014-06-04 東京エレクトロン株式会社 成膜装置およびガス吐出部材
JP5657262B2 (ja) 2009-03-27 2015-01-21 東京エレクトロン株式会社 プラズマ処理装置
US8879233B2 (en) 2009-05-15 2014-11-04 Entegris, Inc. Electrostatic chuck with polymer protrusions
TW201100578A (en) 2009-06-19 2011-01-01 Saint Gobain Ceramics & Plastics Inc Sealed plasma coatings
EP2454513A4 (en) 2009-07-16 2014-02-26 Waters Technologies Corp AUTOMATIC UNLOCKING FLUID CONNECTION
JP5496568B2 (ja) 2009-08-04 2014-05-21 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP5460184B2 (ja) 2009-08-28 2014-04-02 株式会社日本セラテック 支持装置
JP2011061040A (ja) 2009-09-10 2011-03-24 Tokyo Electron Ltd 載置台構造及び処理装置
JP5960384B2 (ja) 2009-10-26 2016-08-02 新光電気工業株式会社 静電チャック用基板及び静電チャック
JP2011165891A (ja) * 2010-02-09 2011-08-25 Tokyo Electron Ltd 載置台構造及び処理装置
CN102986017B (zh) 2010-05-28 2015-09-16 恩特格林斯公司 高表面电阻率静电吸盘
SG188434A1 (en) 2010-09-08 2013-05-31 Entegris Inc High conductivity electrostatic chuck
US9399812B2 (en) 2011-10-11 2016-07-26 Applied Materials, Inc. Methods of preventing plasma induced damage during substrate processing
US8884524B2 (en) 2011-11-22 2014-11-11 Applied Materials, Inc. Apparatus and methods for improving reliability of RF grounding
US9948214B2 (en) 2012-04-26 2018-04-17 Applied Materials, Inc. High temperature electrostatic chuck with real-time heat zone regulating capability
JP6359236B2 (ja) 2012-05-07 2018-07-18 トーカロ株式会社 静電チャック
JP5351316B1 (ja) 2012-08-15 2013-11-27 株式会社アドバンテスト 試料保持具及びこれを用いた電子ビーム露光方法
JP5996340B2 (ja) * 2012-09-07 2016-09-21 東京エレクトロン株式会社 プラズマエッチング装置
JP6207880B2 (ja) 2012-09-26 2017-10-04 東芝メモリ株式会社 プラズマ処理装置およびプラズマ処理方法
WO2014057771A1 (ja) 2012-10-12 2014-04-17 株式会社Neomaxマテリアル 金属基板
CN103794445B (zh) 2012-10-29 2016-03-16 中微半导体设备(上海)有限公司 用于等离子体处理腔室的静电夹盘组件及制造方法
JP6001675B2 (ja) 2012-11-28 2016-10-05 京セラ株式会社 載置用部材およびその製造方法
KR101917415B1 (ko) 2012-11-30 2018-11-09 구로다 프리시젼 인더스트리스 리미티드 축 단말용 어댑터 및 볼 나사 조립체
US9916998B2 (en) 2012-12-04 2018-03-13 Applied Materials, Inc. Substrate support assembly having a plasma resistant protective layer
US9685356B2 (en) 2012-12-11 2017-06-20 Applied Materials, Inc. Substrate support assembly having metal bonded protective layer
US8941969B2 (en) 2012-12-21 2015-01-27 Applied Materials, Inc. Single-body electrostatic chuck
KR101333631B1 (ko) 2012-12-21 2013-11-27 (주)보부하이테크 퀄츠 히터
US9358702B2 (en) 2013-01-18 2016-06-07 Applied Materials, Inc. Temperature management of aluminium nitride electrostatic chuck
US9666466B2 (en) 2013-05-07 2017-05-30 Applied Materials, Inc. Electrostatic chuck having thermally isolated zones with minimal crosstalk
US8934826B2 (en) 2013-05-30 2015-01-13 Xerox Corporation Surface tension interference coating process for precise feature control
JP6119430B2 (ja) 2013-05-31 2017-04-26 住友大阪セメント株式会社 静電チャック装置
WO2015023329A1 (en) 2013-08-10 2015-02-19 Applied Materials, Inc. A method of polishing a new or a refurbished electrostatic chuck
US9711318B2 (en) 2013-12-20 2017-07-18 Nicholas R. White Ribbon beam ion source of arbitrary length
US10804081B2 (en) * 2013-12-20 2020-10-13 Lam Research Corporation Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber
CN104752143B (zh) 2013-12-31 2017-05-03 中微半导体设备(上海)有限公司 一种等离子体处理装置
US20150194326A1 (en) 2014-01-07 2015-07-09 Applied Materials, Inc. Pecvd ceramic heater with wide range of operating temperatures
WO2015120265A1 (en) 2014-02-07 2015-08-13 Entegris, Inc. Electrostatic chuck and method of making same
KR20160113724A (ko) 2014-02-07 2016-09-30 어플라이드 머티어리얼스, 인코포레이티드 Dsa에서의 보우형 웨이퍼들에 대한 척킹 능력
US20150243483A1 (en) 2014-02-21 2015-08-27 Lam Research Corporation Tunable rf feed structure for plasma processing
KR102402923B1 (ko) 2014-02-24 2022-05-27 도쿄엘렉트론가부시키가이샤 감광화된 화학적 증폭 레지스트 화학물질을 사용하는 방법과 기술 및 프로세스
US9472410B2 (en) 2014-03-05 2016-10-18 Applied Materials, Inc. Pixelated capacitance controlled ESC
US20170110385A1 (en) 2014-04-08 2017-04-20 Panasonic Intellectual Property Management Co., Ltd. Heat-conductive sheet and production method therefor
US20150311043A1 (en) 2014-04-25 2015-10-29 Applied Materials, Inc. Chamber component with fluorinated thin film coating
JP6424024B2 (ja) 2014-06-24 2018-11-14 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理方法
TWI665328B (zh) * 2014-07-02 2019-07-11 美商應用材料股份有限公司 用於電漿處理的多區域基座
KR20160015510A (ko) 2014-07-30 2016-02-15 삼성전자주식회사 정전척 어셈블리, 이를 구비하는 반도체 제조장치, 및 이를 이용한 플라즈마 처리방법
KR101465640B1 (ko) 2014-08-08 2014-11-28 주식회사 펨빅스 불화알루미늄 생성방지막이 형성된 cvd 공정챔버 부품
CN113972162A (zh) 2014-08-15 2022-01-25 应用材料公司 在等离子体增强化学气相沉积系统中高温下使用压缩应力或拉伸应力处理晶片的方法和装置
US10325800B2 (en) 2014-08-26 2019-06-18 Applied Materials, Inc. High temperature electrostatic chucking with dielectric constant engineered in-situ charge trap materials
US9624578B2 (en) 2014-09-30 2017-04-18 Lam Research Corporation Method for RF compensation in plasma assisted atomic layer deposition
US10008404B2 (en) 2014-10-17 2018-06-26 Applied Materials, Inc. Electrostatic chuck assembly for high temperature processes
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
US20160225652A1 (en) * 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9828672B2 (en) 2015-03-26 2017-11-28 Lam Research Corporation Minimizing radical recombination using ALD silicon oxide surface coating with intermittent restoration plasma
US10177024B2 (en) 2015-05-12 2019-01-08 Lam Research Corporation High temperature substrate pedestal module and components thereof
US9738975B2 (en) 2015-05-12 2017-08-22 Lam Research Corporation Substrate pedestal module including backside gas delivery tube and method of making
US10008399B2 (en) 2015-05-19 2018-06-26 Applied Materials, Inc. Electrostatic puck assembly with metal bonded backing plate for high temperature processes
US10253412B2 (en) 2015-05-22 2019-04-09 Lam Research Corporation Deposition apparatus including edge plenum showerhead assembly
US10153139B2 (en) 2015-06-17 2018-12-11 Applied Materials, Inc. Multiple electrode substrate support assembly and phase control system
US10163610B2 (en) 2015-07-13 2018-12-25 Lam Research Corporation Extreme edge sheath and wafer profile tuning through edge-localized ion trajectory control and plasma operation
US10153136B2 (en) 2015-08-04 2018-12-11 Lam Research Corporation Hollow RF feed with coaxial DC power feed
WO2017066311A1 (en) 2015-10-12 2017-04-20 Applied Materials, Inc. Substrate carrier for active/passive bonding and de-bonding of a substrate
US9954508B2 (en) 2015-10-26 2018-04-24 Lam Research Corporation Multiple-output radiofrequency matching module and associated methods
US10020218B2 (en) 2015-11-17 2018-07-10 Applied Materials, Inc. Substrate support assembly with deposited surface features
JP6424954B2 (ja) 2016-01-12 2018-11-21 住友大阪セメント株式会社 静電チャック装置
US10249526B2 (en) 2016-03-04 2019-04-02 Applied Materials, Inc. Substrate support assembly for high temperature processes
US9852889B1 (en) 2016-06-22 2017-12-26 Lam Research Corporation Systems and methods for controlling directionality of ions in an edge region by using an electrode within a coupling ring
US9850573B1 (en) 2016-06-23 2017-12-26 Applied Materials, Inc. Non-line of sight deposition of erbium based plasma resistant ceramic coating
US20180016678A1 (en) 2016-07-15 2018-01-18 Applied Materials, Inc. Multi-layer coating with diffusion barrier layer and erosion resistant layer
US10283330B2 (en) 2016-07-25 2019-05-07 Lam Research Corporation Systems and methods for achieving a pre-determined factor associated with an edge region within a plasma chamber by synchronizing main and edge RF generators
US20180061684A1 (en) 2016-08-26 2018-03-01 Applied Materials, Inc. Optical heating of light absorbing objects in substrate support
JP6626419B2 (ja) 2016-09-30 2019-12-25 新光電気工業株式会社 静電チャック、基板固定装置
JP6869034B2 (ja) 2017-01-17 2021-05-12 東京エレクトロン株式会社 プラズマ処理装置
WO2018163935A1 (ja) 2017-03-06 2018-09-13 日本碍子株式会社 ウエハ支持台
US10079154B1 (en) 2017-03-20 2018-09-18 Lam Research Corporation Atomic layer etching of silicon nitride
JP7184034B2 (ja) 2017-03-28 2022-12-06 住友電気工業株式会社 ウエハ保持体
JP6948822B2 (ja) 2017-04-25 2021-10-13 東京エレクトロン株式会社 基板処理装置及び基板取り外し方法
KR102658739B1 (ko) 2017-05-03 2024-04-17 램 리써치 코포레이션 컨디셔닝 챔버 컴포넌트
US10147610B1 (en) 2017-05-30 2018-12-04 Lam Research Corporation Substrate pedestal module including metallized ceramic tubes for RF and gas delivery
JP6811144B2 (ja) 2017-05-30 2021-01-13 東京エレクトロン株式会社 プラズマ処理装置の静電チャックを運用する方法
US11289355B2 (en) 2017-06-02 2022-03-29 Lam Research Corporation Electrostatic chuck for use in semiconductor processing
EP3450809A1 (de) 2017-08-31 2019-03-06 VAT Holding AG Verstellvorrichtung mit spannzangenkupplung für den vakuumbereich
US11469084B2 (en) 2017-09-05 2022-10-11 Lam Research Corporation High temperature RF connection with integral thermal choke
US20190115241A1 (en) 2017-10-12 2019-04-18 Applied Materials, Inc. Hydrophobic electrostatic chuck
US11532459B2 (en) 2017-11-09 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Chemical vapor deposition apparatus with cleaning gas flow guiding member
US10410845B2 (en) 2017-11-22 2019-09-10 Applied Materials, Inc. Using bias RF pulsing to effectively clean electrostatic chuck (ESC)
JP6799549B2 (ja) 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
JP6799550B2 (ja) 2018-01-16 2020-12-16 東京エレクトロン株式会社 プラズマ処理装置の部品をクリーニングする方法
JP7334166B2 (ja) 2018-01-30 2023-08-28 ラム リサーチ コーポレーション パターニングにおける酸化スズマンドレル
US11232966B2 (en) 2018-02-01 2022-01-25 Lam Research Corporation Electrostatic chucking pedestal with substrate backside purging and thermal sinking
US10636686B2 (en) 2018-02-27 2020-04-28 Lam Research Corporation Method monitoring chamber drift
US11014853B2 (en) 2018-03-07 2021-05-25 Applied Materials, Inc. Y2O3—ZrO2 erosion resistant material for chamber components in plasma environments
US11086233B2 (en) 2018-03-20 2021-08-10 Lam Research Corporation Protective coating for electrostatic chucks
US10957572B2 (en) 2018-05-02 2021-03-23 Applied Materials, Inc. Multi-zone gasket for substrate support assembly
US20200013590A1 (en) 2018-07-06 2020-01-09 Tokyo Electron Limited Protective layer for chucks during plasma processing to reduce particle formation
US11183368B2 (en) 2018-08-02 2021-11-23 Lam Research Corporation RF tuning systems including tuning circuits having impedances for setting and adjusting parameters of electrodes in electrostatic chucks
WO2020060929A1 (en) 2018-09-21 2020-03-26 Lam Research Corporation Method for conditioning a plasma processing chamber
KR20210153149A (ko) 2019-05-07 2021-12-16 램 리써치 코포레이션 정전 척 시스템
US11976357B2 (en) 2019-09-09 2024-05-07 Applied Materials, Inc. Methods for forming a protective coating on processing chamber surfaces or components
JP2021132148A (ja) 2020-02-20 2021-09-09 東京エレクトロン株式会社 ステージ、プラズマ処理装置及びプラズマ処理方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030051665A1 (en) * 1997-02-12 2003-03-20 Jun Zhao High temperature ceramic heater assembly with rf capability
JP4034145B2 (ja) * 2002-08-09 2008-01-16 住友大阪セメント株式会社 サセプタ装置
US20060005930A1 (en) * 2003-03-12 2006-01-12 Tokyo Electron Limited Substrate supporting structure for semiconductor processing, and plasma processing device
US20090314208A1 (en) * 2008-06-24 2009-12-24 Applied Materials, Inc. Pedestal heater for low temperature pecvd application
US20120164834A1 (en) * 2010-12-22 2012-06-28 Kevin Jennings Variable-Density Plasma Processing of Semiconductor Substrates
US20140087587A1 (en) * 2012-09-21 2014-03-27 Novellus Systems, Inc. High Temperature Electrode Connections

Also Published As

Publication number Publication date
JP2024001248A (ja) 2024-01-09
US11990360B2 (en) 2024-05-21
US20210043490A1 (en) 2021-02-11
KR20200106091A (ko) 2020-09-10
KR20240050466A (ko) 2024-04-18
CN111670491A (zh) 2020-09-15
JP7374103B2 (ja) 2023-11-06
WO2019152528A1 (en) 2019-08-08
JP2021512493A (ja) 2021-05-13

Similar Documents

Publication Publication Date Title
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
CN107481962B (zh) 具有可拆卸高电阻率气体分配板的喷淋头
CN107578976B (zh) 具有可拆卸式气体分配板的喷淋头
CN100474521C (zh) 温控热边缘环组件,包含该组件的装置及其用途
US5748434A (en) Shield for an electrostatic chuck
KR100803253B1 (ko) 결합 전극을 구비한 플라즈마 챔버 지지 부재
US10741368B2 (en) Plasma processing apparatus
KR20160000419A (ko) 배치대 및 플라즈마 처리 장치
JP2024001248A (ja) 静電チャック(esc)ペデスタル電圧分離
US11551916B2 (en) Sheath and temperature control of a process kit in a substrate processing chamber
JPH09326431A (ja) 高温の静電チャックから下側の低温体に伝熱するための装置及び方法
US20100326602A1 (en) Electrostatic chuck
KR20040015814A (ko) 유전체 코팅을 갖는 정전식 척
US20200286717A1 (en) Electrostatic chuck for high bias radio frequency (rf) power application in a plasma processing chamber
KR100726528B1 (ko) 기판 처리챔버용 안테나 코일 조립체
JP2023518463A (ja) 耐アーク性冷却剤導管付き基板支持アセンブリ
CN116457931A (zh) 高温双极静电卡盘
TWI717934B (zh) 電漿處理設備
US20220028720A1 (en) Lift pin interface in a substrate support
US20230197495A1 (en) Substrate support gap pumping to prevent glow discharge and light-up
US20220293397A1 (en) Substrate edge ring that extends process environment beyond substrate diameter
CN115602518A (zh) 基片支承体、基片支承体组件和等离子体处理装置
TW201842605A (zh) 用於具備射頻電漿的半導體處理設備之具有改良的射頻功率效率的陰極

Legal Events

Date Code Title Description
AMND Amendment
AMND Amendment
AMND Amendment
E601 Decision to refuse application
X091 Application refused [patent]
AMND Amendment
X701 Decision to grant (after re-examination)
GRNT Written decision to grant