JP5297048B2 - プラズマ処理方法及びプラズマ処理装置 - Google Patents
プラズマ処理方法及びプラズマ処理装置 Download PDFInfo
- Publication number
- JP5297048B2 JP5297048B2 JP2008015894A JP2008015894A JP5297048B2 JP 5297048 B2 JP5297048 B2 JP 5297048B2 JP 2008015894 A JP2008015894 A JP 2008015894A JP 2008015894 A JP2008015894 A JP 2008015894A JP 5297048 B2 JP5297048 B2 JP 5297048B2
- Authority
- JP
- Japan
- Prior art keywords
- plasma processing
- processing method
- plasma
- amount
- bias power
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Expired - Fee Related
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
- H01J37/321—Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32697—Electrostatic control
- H01J37/32706—Polarising the substrate
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/314—Inorganic layers
- H01L21/318—Inorganic layers composed of nitrides
- H01L21/3185—Inorganic layers composed of nitrides of siliconnitrides
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Analytical Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Inorganic Chemistry (AREA)
- Chemical Vapour Deposition (AREA)
- Formation Of Insulating Films (AREA)
- Plasma Technology (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
上記の課題を解決するための第6の発明に係るプラズマ処理方法は、第1の発明から第5の発明のいずれかひとつに係るプラズマ処理方法において、前記閾値は、200mmウェハの場合1.2kWとすることを特徴とする。
真空容器の内部に供給するシリコン及び水素を含有する原料ガスと窒素を含有するガスのガス供給量を制御するガス供給量制御手段と、
前記真空容器の内部の圧力を制御する圧力制御手段と、
前記真空容器の内部の前記原料ガスと前記窒素ガスにRFパワーを印加してプラズマを発生させるプラズマ発生手段と、
前記真空容器の内部で、プラズマ処理対象となる基板を保持する基板保持手段と、
前記基板のプラズマ処理時の温度を制御する温度制御手段と、
前記基板にバイアスパワーを印加するバイアスパワー印加手段と、
前記基板に前記プラズマ中からイオン入射させるバイアスパワーを閾値以上にすることでSi−H結合量を増加させて圧縮応力を低減させるパラメータ制御手段と
を備えた
ことを特徴とする。
図1は本発明の一実施形態に係るプラズマ処理装置の構成図である。図1に示すように、プラズマ処理装置1は、高い真空度を維持できる真空容器10を備えている。この真空容器10は、筒状容器11と天井板12からなり、筒状容器11の上部に天井板12を取り付けることで外気から密閉された空間を形成している。
第1の実験として、SiN膜成膜時において、バイアスパワーを変化させたときの、SiN膜の圧縮応力、Si−H結合量及びN−H結合量を測定する実験を行った。ここで、成膜処理対象の基板21(図1参照)は、200mm径のウェハとする。SiN膜成膜時のプロセス条件として、RFパワー(13.56MHz)は2kW、SiH4とN2とArの流量はそれぞれ50sccm、圧力は25mTorr、成膜温度は250℃、成膜するSiN膜の膜厚は350nmと設定した。
10 真空容器
11 筒状容器
12 天井板
13 真空装置
14 プラズマ
15 RFアンテナ
16 整合器
17 RF電源
18 原料ガス供給管
18a 原料ガス供給量制御バルブ
19 N2ガス供給管
19a N2ガス供給量制御バルブ
20 Arガス供給管
20a Arガス供給量制御バルブ
21 基板
22 基板支持台
23 基板保持部
24 支持軸
25 ヒータ
26 ヒータ制御装置
27 コンデンサ
28 整合器
29 バイアス電源
30 静電電源
31 ローパスフィルター(LPF)
32 パラメータ制御装置
Claims (7)
- シリコン及び水素を含有する原料ガスと窒素を含有するガスとのプラズマにより、プラズマ処理対象の基板に対して窒化シリコン膜を成長させるプラズマ処理方法において、
前記基板に前記プラズマ中からイオン入射させるバイアスパワーを閾値以上にすることでSi−H結合量を増加させて圧縮応力を低減させる
ことを特徴とするプラズマ処理方法。 - 請求項1に記載のプラズマ処理方法において、
プラズマを生成するために印加するRFパワーを減少させることでSi−H結合量を増加させて圧縮応力を低減させる
ことを特徴とするプラズマ処理方法。 - 請求項1又は請求項2に記載のプラズマ処理方法において、
圧力を高くすることでSi−H結合量を増加させて圧縮応力を低減させる
ことを特徴とするプラズマ処理方法。 - 請求項1から請求項3のいずれか1項に記載のプラズマ処理方法において、
前記原料ガスと窒素を含有するガスの供給量を増加させることでSi−H結合量を増加させて圧縮応力を低減させる
ことを特徴とするプラズマ処理方法。 - 請求項1から請求項4のいずれか1項に記載のプラズマ処理方法において、
プラズマ処理温度を低下させることでSi−H結合量を増加させて圧縮応力を低減させる
ことを特徴とするプラズマ処理方法。 - 請求項1から請求項5のいずれか1項に記載のプラズマ処理方法において、
前記閾値は、200mmウェハの場合1.2kWとする
ことを特徴とするプラズマ処理方法。 - 真空容器の内部に供給するシリコン及び水素を含有する原料ガスと窒素を含有するガスのガス供給量を制御するガス供給量制御手段と、
前記真空容器の内部の圧力を制御する圧力制御手段と、
前記真空容器の内部の前記原料ガスと前記窒素ガスにRFパワーを印加してプラズマを発生させるプラズマ発生手段と、
前記真空容器の内部で、プラズマ処理対象となる基板を保持する基板保持手段と、
前記基板のプラズマ処理時の温度を制御する温度制御手段と、
前記基板にバイアスパワーを印加するバイアスパワー印加手段と、
前記基板に前記プラズマ中からイオン入射させるバイアスパワーを閾値以上にすることでSi−H結合量を増加させて圧縮応力を低減させるパラメータ制御手段と
を備えた
ことを特徴とするプラズマ処理装置。
Priority Applications (7)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2008015894A JP5297048B2 (ja) | 2008-01-28 | 2008-01-28 | プラズマ処理方法及びプラズマ処理装置 |
US12/812,653 US20100310791A1 (en) | 2008-01-28 | 2009-01-20 | Plasma processing method and plasma processing system |
PCT/JP2009/050703 WO2009096259A1 (ja) | 2008-01-28 | 2009-01-20 | プラズマ処理方法及びプラズマ処理装置 |
KR1020107016842A KR101158377B1 (ko) | 2008-01-28 | 2009-01-20 | 플라즈마 처리 방법 및 플라즈마 처리 시스템 |
EP09705243.5A EP2242092A4 (en) | 2008-01-28 | 2009-01-20 | METHOD AND SYSTEM FOR PLASMA PROCESSING |
TW098102593A TW200947551A (en) | 2008-01-28 | 2009-01-22 | Plasma processing method and plasma processing system |
US14/059,502 US20140057459A1 (en) | 2008-01-28 | 2013-10-22 | Plasma processing method and plasma processing system |
Applications Claiming Priority (1)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2008015894A JP5297048B2 (ja) | 2008-01-28 | 2008-01-28 | プラズマ処理方法及びプラズマ処理装置 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2009177046A JP2009177046A (ja) | 2009-08-06 |
JP5297048B2 true JP5297048B2 (ja) | 2013-09-25 |
Family
ID=40912612
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2008015894A Expired - Fee Related JP5297048B2 (ja) | 2008-01-28 | 2008-01-28 | プラズマ処理方法及びプラズマ処理装置 |
Country Status (6)
Country | Link |
---|---|
US (2) | US20100310791A1 (ja) |
EP (1) | EP2242092A4 (ja) |
JP (1) | JP5297048B2 (ja) |
KR (1) | KR101158377B1 (ja) |
TW (1) | TW200947551A (ja) |
WO (1) | WO2009096259A1 (ja) |
Families Citing this family (45)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP5069597B2 (ja) * | 2008-03-27 | 2012-11-07 | 富士フイルム株式会社 | ガスバリアフィルムの製造方法 |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US8728956B2 (en) | 2010-04-15 | 2014-05-20 | Novellus Systems, Inc. | Plasma activated conformal film deposition |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
JP5495940B2 (ja) * | 2010-05-21 | 2014-05-21 | 三菱重工業株式会社 | 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置 |
JP5610850B2 (ja) * | 2010-05-28 | 2014-10-22 | 三菱重工業株式会社 | 窒化珪素膜の製造方法及び装置 |
JP2011249626A (ja) * | 2010-05-28 | 2011-12-08 | Mitsubishi Heavy Ind Ltd | 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置 |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8844793B2 (en) * | 2010-11-05 | 2014-09-30 | Raytheon Company | Reducing formation of oxide on solder |
US8592328B2 (en) * | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US20140038421A1 (en) * | 2012-08-01 | 2014-02-06 | Taiwan Semiconductor Manufacturing Company, Ltd. | Deposition Chamber and Injector |
JP2014060378A (ja) * | 2012-08-23 | 2014-04-03 | Tokyo Electron Ltd | シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置 |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
SG2013083654A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Methods for depositing films on sensitive substrates |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
US9589790B2 (en) | 2014-11-24 | 2017-03-07 | Lam Research Corporation | Method of depositing ammonia free and chlorine free conformal silicon nitride film |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US9601693B1 (en) | 2015-09-24 | 2017-03-21 | Lam Research Corporation | Method for encapsulating a chalcogenide material |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
CN107665800B (zh) * | 2016-07-28 | 2019-06-07 | 中微半导体设备(上海)股份有限公司 | 一种用于等离子处理器的射频电源控制装置及其控制方法 |
US10629435B2 (en) | 2016-07-29 | 2020-04-21 | Lam Research Corporation | Doped ALD films for semiconductor patterning applications |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10074543B2 (en) | 2016-08-31 | 2018-09-11 | Lam Research Corporation | High dry etch rate materials for semiconductor patterning applications |
US9865455B1 (en) | 2016-09-07 | 2018-01-09 | Lam Research Corporation | Nitride film formed by plasma-enhanced and thermal atomic layer deposition process |
US10454029B2 (en) | 2016-11-11 | 2019-10-22 | Lam Research Corporation | Method for reducing the wet etch rate of a sin film without damaging the underlying substrate |
US10832908B2 (en) | 2016-11-11 | 2020-11-10 | Lam Research Corporation | Self-aligned multi-patterning process flow with ALD gapfill spacer mask |
US10134579B2 (en) | 2016-11-14 | 2018-11-20 | Lam Research Corporation | Method for high modulus ALD SiO2 spacer |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
WO2019169335A1 (en) | 2018-03-02 | 2019-09-06 | Lam Research Corporation | Selective deposition using hydrolysis |
JP7240517B2 (ja) * | 2019-09-20 | 2023-03-15 | 株式会社Kokusai Electric | 半導体装置の製造方法、基板処理方法、プログラム、および基板処理装置 |
Family Cites Families (50)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6068784A (en) * | 1989-10-03 | 2000-05-30 | Applied Materials, Inc. | Process used in an RF coupled plasma reactor |
JPH04297033A (ja) * | 1990-05-28 | 1992-10-21 | Fuji Electric Co Ltd | 窒化シリコン膜の形成方法 |
US6024826A (en) * | 1996-05-13 | 2000-02-15 | Applied Materials, Inc. | Plasma reactor with heated source of a polymer-hardening precursor material |
US6090303A (en) * | 1991-06-27 | 2000-07-18 | Applied Materials, Inc. | Process for etching oxides in an electromagnetically coupled planar plasma apparatus |
KR100281345B1 (ko) * | 1992-12-01 | 2001-03-02 | 조셉 제이. 스위니 | 전자기 결합성 플래너 플라즈마 장치에서의 산화물 에칭 공정 |
US5571366A (en) * | 1993-10-20 | 1996-11-05 | Tokyo Electron Limited | Plasma processing apparatus |
US5777289A (en) * | 1995-02-15 | 1998-07-07 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
JPH08115912A (ja) * | 1994-10-14 | 1996-05-07 | Nippon Telegr & Teleph Corp <Ntt> | 窒化ケイ素薄膜の作製方法 |
US6270617B1 (en) * | 1995-02-15 | 2001-08-07 | Applied Materials, Inc. | RF plasma reactor with hybrid conductor and multi-radius dome ceiling |
JPH0982495A (ja) * | 1995-09-18 | 1997-03-28 | Toshiba Corp | プラズマ生成装置およびプラズマ生成方法 |
JPH09106899A (ja) * | 1995-10-11 | 1997-04-22 | Anelva Corp | プラズマcvd装置及び方法並びにドライエッチング装置及び方法 |
EP0777258A3 (en) * | 1995-11-29 | 1997-09-17 | Applied Materials Inc | Self-cleaning plasma processing reactor |
US5965034A (en) * | 1995-12-04 | 1999-10-12 | Mc Electronics Co., Ltd. | High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced |
US6902683B1 (en) * | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US5683548A (en) * | 1996-02-22 | 1997-11-04 | Motorola, Inc. | Inductively coupled plasma reactor and process |
TW335517B (en) * | 1996-03-01 | 1998-07-01 | Hitachi Ltd | Apparatus and method for processing plasma |
JP3141805B2 (ja) * | 1997-01-20 | 2001-03-07 | 日本電気株式会社 | 半導体装置の製造方法 |
US6009830A (en) * | 1997-11-21 | 2000-01-04 | Applied Materials Inc. | Independent gas feeds in a plasma reactor |
US6136165A (en) * | 1997-11-26 | 2000-10-24 | Cvc Products, Inc. | Apparatus for inductively-coupled-plasma-enhanced ionized physical-vapor deposition |
EP0977246A3 (en) * | 1998-07-31 | 2005-11-09 | Canon Kabushiki Kaisha | Production process of semiconductor layer, fabrication process of photovoltaic cell and production apparatus of semiconductor layer |
TW465017B (en) * | 1999-04-13 | 2001-11-21 | Applied Materials Inc | A corrosion-resistant protective coating for an apparatus and method for processing a substrate |
US6318384B1 (en) * | 1999-09-24 | 2001-11-20 | Applied Materials, Inc. | Self cleaning method of forming deep trenches in silicon substrates |
US7141757B2 (en) * | 2000-03-17 | 2006-11-28 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode having a resonance that is virtually pressure independent |
US7220937B2 (en) * | 2000-03-17 | 2007-05-22 | Applied Materials, Inc. | Plasma reactor with overhead RF source power electrode with low loss, low arcing tendency and low contamination |
US7294563B2 (en) * | 2000-08-10 | 2007-11-13 | Applied Materials, Inc. | Semiconductor on insulator vertical transistor fabrication and doping process |
US6939434B2 (en) * | 2000-08-11 | 2005-09-06 | Applied Materials, Inc. | Externally excited torroidal plasma source with magnetic control of ion distribution |
US7223676B2 (en) * | 2002-06-05 | 2007-05-29 | Applied Materials, Inc. | Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer |
US7037813B2 (en) * | 2000-08-11 | 2006-05-02 | Applied Materials, Inc. | Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage |
US7137354B2 (en) * | 2000-08-11 | 2006-11-21 | Applied Materials, Inc. | Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage |
US7320734B2 (en) * | 2000-08-11 | 2008-01-22 | Applied Materials, Inc. | Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage |
US7871676B2 (en) * | 2000-12-06 | 2011-01-18 | Novellus Systems, Inc. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US20020197402A1 (en) * | 2000-12-06 | 2002-12-26 | Chiang Tony P. | System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD) |
US6667248B2 (en) * | 2001-09-05 | 2003-12-23 | Applied Materials Inc. | Low-bias-deposited high-density-plasma chemical-vapor-deposition silicate glass layers |
US6926926B2 (en) * | 2001-09-10 | 2005-08-09 | Applied Materials, Inc. | Silicon carbide deposited by high density plasma chemical-vapor deposition with bias |
US20030091739A1 (en) * | 2001-11-14 | 2003-05-15 | Hitoshi Sakamoto | Barrier metal film production apparatus, barrier metal film production method, metal film production method, and metal film production apparatus |
US7169255B2 (en) * | 2002-02-15 | 2007-01-30 | Hitachi High-Technologies Corporation | Plasma processing apparatus |
US6962644B2 (en) * | 2002-03-18 | 2005-11-08 | Applied Materials, Inc. | Tandem etch chamber plasma processing system |
JP2003297830A (ja) * | 2002-04-03 | 2003-10-17 | Hitachi Kokusai Electric Inc | 半導体装置の製造方法 |
JP3840147B2 (ja) * | 2002-06-21 | 2006-11-01 | キヤノン株式会社 | 成膜装置、成膜方法およびそれを用いた電子放出素子、電子源、画像形成装置の製造方法 |
US20040188240A1 (en) * | 2003-03-28 | 2004-09-30 | Taiwan Semiconductor Manufacturing Co., Ltd. | Process for in-situ nitridation of salicides |
JP3881973B2 (ja) * | 2003-08-29 | 2007-02-14 | 三菱重工業株式会社 | 窒化シリコン膜の成膜方法 |
CN100517595C (zh) * | 2004-07-02 | 2009-07-22 | 株式会社爱发科 | 蚀刻方法和系统 |
US7399943B2 (en) * | 2004-10-05 | 2008-07-15 | Applied Materials, Inc. | Apparatus for metal plasma vapor deposition and re-sputter with source and bias power frequencies applied through the workpiece |
US7271110B2 (en) * | 2005-01-05 | 2007-09-18 | Chartered Semiconductor Manufacturing, Ltd. | High density plasma and bias RF power process to make stable FSG with less free F and SiN with less H to enhance the FSG/SiN integration reliability |
US20060162661A1 (en) * | 2005-01-22 | 2006-07-27 | Applied Materials, Inc. | Mixing energized and non-energized gases for silicon nitride deposition |
US20060172536A1 (en) * | 2005-02-03 | 2006-08-03 | Brown Karl M | Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece |
US20070091540A1 (en) * | 2005-10-20 | 2007-04-26 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor using multiple zone feed forward thermal control |
US7473623B2 (en) * | 2006-06-30 | 2009-01-06 | Advanced Micro Devices, Inc. | Providing stress uniformity in a semiconductor device |
JP2008047620A (ja) * | 2006-08-11 | 2008-02-28 | Mitsubishi Heavy Ind Ltd | プラズマ処理方法、及び、プラズマ処理装置 |
US7871828B2 (en) * | 2007-02-06 | 2011-01-18 | Applied Materials, Inc. | In-situ dose monitoring using optical emission spectroscopy |
-
2008
- 2008-01-28 JP JP2008015894A patent/JP5297048B2/ja not_active Expired - Fee Related
-
2009
- 2009-01-20 WO PCT/JP2009/050703 patent/WO2009096259A1/ja active Application Filing
- 2009-01-20 KR KR1020107016842A patent/KR101158377B1/ko not_active IP Right Cessation
- 2009-01-20 US US12/812,653 patent/US20100310791A1/en not_active Abandoned
- 2009-01-20 EP EP09705243.5A patent/EP2242092A4/en not_active Withdrawn
- 2009-01-22 TW TW098102593A patent/TW200947551A/zh not_active IP Right Cessation
-
2013
- 2013-10-22 US US14/059,502 patent/US20140057459A1/en not_active Abandoned
Also Published As
Publication number | Publication date |
---|---|
TW200947551A (en) | 2009-11-16 |
US20140057459A1 (en) | 2014-02-27 |
WO2009096259A1 (ja) | 2009-08-06 |
KR20100098575A (ko) | 2010-09-07 |
TWI373807B (ja) | 2012-10-01 |
US20100310791A1 (en) | 2010-12-09 |
JP2009177046A (ja) | 2009-08-06 |
EP2242092A4 (en) | 2014-02-05 |
KR101158377B1 (ko) | 2012-06-25 |
EP2242092A1 (en) | 2010-10-20 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP5297048B2 (ja) | プラズマ処理方法及びプラズマ処理装置 | |
US10658172B2 (en) | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer | |
JP5269093B2 (ja) | 低ウェットエッチング速度の窒化シリコン膜 | |
JP2005079254A (ja) | 窒化シリコン膜の成膜方法 | |
KR102538040B1 (ko) | 박막 처리 프로세스 | |
JP2008124111A (ja) | プラズマcvd法によるシリコン系薄膜の形成方法 | |
JP6220409B2 (ja) | プラズマエッチング方法 | |
JP2023060085A (ja) | 化学気相堆積中におけるチタンおよびケイ化チタンの選択性を強化するための方法および装置 | |
KR102007019B1 (ko) | 플라즈마 처리에 의한 불화 알루미늄 경감 | |
KR101422981B1 (ko) | 질화 규소막의 제조 방법 및 장치 | |
US20180148833A1 (en) | Methods for depositing flowable silicon containing films using hot wire chemical vapor deposition | |
US20190189437A1 (en) | Method for processing workpiece | |
US9637819B2 (en) | Methods for preferential growth of cobalt within substrate features | |
US10593543B2 (en) | Method of depositing doped amorphous silicon films with enhanced defect control, reduced substrate sensitivity to in-film defects and bubble-free film growth | |
KR102542745B1 (ko) | 질화실리콘의 증착 방법 | |
KR101353258B1 (ko) | 반도체 소자의 갭필 방법 | |
TW202405219A (zh) | 於化學氣相沉積期間增進鈦及矽化鈦選擇性之方法與設備 | |
JP2005206897A (ja) | ポリシリコン膜形成方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20101007 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130205 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130405 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20130521 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20130614 |
|
LAPS | Cancellation because of no payment of annual fees |