JP2008047620A - プラズマ処理方法、及び、プラズマ処理装置 - Google Patents

プラズマ処理方法、及び、プラズマ処理装置 Download PDF

Info

Publication number
JP2008047620A
JP2008047620A JP2006219839A JP2006219839A JP2008047620A JP 2008047620 A JP2008047620 A JP 2008047620A JP 2006219839 A JP2006219839 A JP 2006219839A JP 2006219839 A JP2006219839 A JP 2006219839A JP 2008047620 A JP2008047620 A JP 2008047620A
Authority
JP
Japan
Prior art keywords
plasma processing
processing method
film
silicon nitride
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2006219839A
Other languages
English (en)
Inventor
Tadashi Shimazu
正 嶋津
Masahiko Inoue
雅彦 井上
Toshihiko Nishimori
年彦 西森
Yuichi Kono
雄一 河野
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Mitsubishi Heavy Industries Ltd
Original Assignee
Mitsubishi Heavy Industries Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mitsubishi Heavy Industries Ltd filed Critical Mitsubishi Heavy Industries Ltd
Priority to JP2006219839A priority Critical patent/JP2008047620A/ja
Priority to PCT/JP2007/064500 priority patent/WO2008018291A1/ja
Priority to EP07791225A priority patent/EP2051290A4/en
Priority to US12/373,146 priority patent/US7972946B2/en
Priority to KR1020087031919A priority patent/KR101081783B1/ko
Priority to TW096127583A priority patent/TW200822220A/zh
Publication of JP2008047620A publication Critical patent/JP2008047620A/ja
Withdrawn legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • H01L21/3185Inorganic layers composed of nitrides of siliconnitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

【課題】高い圧縮ストレスを有する窒化シリコン膜を成膜することのできるプラズマ処理方法、及び、プラズマ処理装置を提供する。
【解決手段】シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基板に対して窒化シリコン膜を成長させるプラズマ処理方法において、窒化シリコン膜を成長させている間、原料ガス中の水素と窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の前記基板に印加し、窒化シリコン膜の膜中に含まれるN−H結合量を低減させた。
【選択図】図1

Description

本発明は、プラズマ処理方法、及び、プラズマ処理装置に関する。
従来、プラズマCVD法は、半導体製造工場において、反応容器内に収納される半導体ウェハなどの被処理基板上に絶縁膜を形成するのによく用いられている。プラズマCVD法を用いる場合、被処理基板を載置するサセプタと、反応容器を構成するフェースプレートとの間にRF電力を印加し、反応容器内にプラズマを生成させた状態にして絶縁膜形成用ガスを導入し、これにより被処理基板の表面上に絶縁膜が形成される。この方式のプラズマCVD装置は容量結合型プラズマCVD装置と呼ばれており、最も一般的なプラズマCVD装置である。このとき、絶縁膜は、膜剥がれや素子特性への影響が起こりにくいよう、200MPa以下の圧縮応力膜であることが望ましい。このような技術の一例が下記特許文献1に開示されている。
下記特許文献1では、プラズマを500W以下という低いRF電力で生成し、絶縁膜を500nm/min以下という成膜速度で形成しており、これにより形成された絶縁膜の圧縮応力は、100MPa程度となっている。トランジスタなどの素子間及び配線間の絶縁や、水分などの侵入を防止する目的で成膜を行う保護膜についても、膜の応力は200MPa以下が要求されていた。
特許第3725100号公報
LSIに用いられるMOS型トランジスタ(構造が金属(Metal)、酸化物(絶縁体)(Oxide)、半導体(Semiconductor) となっていることから、その三つの要素の名前をとってMOS型トランジスタと呼んでいる。)は、寸法の微細化によってトランジスタゲート部の面積が小さくなる事により寄生容量が小さくなり、これに伴い過渡応答による動作遅延が抑えられ、回路動作速度の向上につながっていた。LSI開発はより微細な素子の実現を目指して激しい競争が続いている。しかし、トランジスタ構造に用いられているゲート酸化膜は、既に物理的限界近くにまで薄膜化され、素子間及び配線間の絶縁膜も薄膜化が進んできており、LSI内部で生じるリーク電流が大幅に増大する事が消費電力の増加として問題となっている。この対策として電源電圧を低電圧化することが行われているが、単純に低電圧化するだけであれば、トランジシターの動作電流が小さくなり、動作速度の遅延を招く事となる。以上の問題点の対策の為には、小型化と共にMOS型トランジスタの動作電流を高める素子特性の改良が必要であり、この対策の一つとしてMOS型トランジスタのゲート部に歪を印加する技術が採用され始めている。これは、MOS型トランジスタの電子及び正孔の通り道であるゲート部に歪を印加する事により、電子や空孔の移動度が高まる原理を利用している。45nmノードのトランジスタに必要な応力は、2GPa〜3GPaと予想されており、この応力をMOSトランジスタのゲート部に印加する技術として、応力の高い窒化シリコン膜をMOSトランジスタに成膜する技術が開発されている。
しかしながら、上記特許文献1に開示されているように、一般的な絶縁膜の圧縮応力は100MPa程度であり、現在要求されている2〜3GPaとは程遠い値である。
このことから、本発明は、高い圧縮応力を有する窒化シリコン膜を生成することのできるプラズマ処理方法、及び、プラズマ処理装置を提供することを目的とする。
上記の課題を解決するための第1の発明(請求項1に対応)に係るプラズマ処理方法は、
シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基板に対して窒化シリコン膜を成長させるプラズマ処理方法において、
前記窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の前記基板に印加し、前記窒化シリコン膜の膜中に含まれるN−H結合量を低減させる
ことを特徴とする。
上記の課題を解決するための第2の発明(請求項2に対応)に係るプラズマ処理方法は、第1の発明に係るプラズマ処理方法において、バイアスパワーを変化させてイオンエネルギーを変化させることを特徴とする。
上記の課題を解決するための第3の発明(請求項3に対応)に係るプラズマ処理方法は、第2の発明に係るプラズマ処理方法において、RFパワーを変化させてイオンエネルギーを変化させることを特徴とする。
上記の課題を解決するための第4の発明(請求項4に対応)に係るプラズマ処理方法は、第2の発明又は第3の発明に係るプラズマ処理方法において、圧力を変化させてイオンエネルギーを変化させることを特徴とする。
上記の課題を解決するための第5の発明(請求項5に対応)に係るプラズマ処理方法は、第2の発明乃至第4の発明のいずれかに係るプラズマ処理方法において、圧力を変化させてイオンエネルギーを変化させることを特徴とする。
上記の課題を解決するための第6の発明(請求項6に対応)に係るプラズマ処理方法は 、第1の発明乃至第3の発明のいずれかに係るプラズマ処理方法において、シリコンを 含有する原料ガスの供給量に対し、窒素ガスの供給量を3倍以上とすることを特徴とす る。
上記の課題を解決するための第7の発明(請求項7に対応)に係るプラズマ処理装置は、
真空容器の内部にシリコン及び水素を含有する原料ガスを供給する原料ガス供給手段と、
真空容器の内部に窒素ガスを供給する窒素ガス供給手段と、
前記真空容器の内の圧力を制御する圧力制御手段と、
前記真空容器の内部に前記原料ガスと前記窒素ガスにRFパワーを印加してプラズマを発生させるプラズマ発生手段と、
前記真空容器の内部の下方に、処理対象となる基板を支持する加熱機能を有する支持手段と、
前記支持手段にバイアスパワーを印加するバイアスパワー印加手段と、
前記バイアスパワー印加手段の前記バイアスパワー、前記プラズマ発生手段の前記RFパワー、前記圧力制御手段の前記圧力、及び、前記原料ガス供給手段と前記窒素ガス供給手段のガス供給量をそれぞれ制御するパラメータ制御手段と
を備え、
前記パラメータ制御手段で前記バイアスパワー、前記RFパワー、前記圧力、及び、前記ガス供給量を制御することにより、窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の前記基板に印加し、前記窒化シリコン膜の膜中に含まれるN−H結合量を低減させる
ことを特徴とする。
第1の発明によれば、シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基板に対して窒化シリコン膜を成長させるプラズマ処理方法において、
前記窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の前記基板に印加し、前記窒化シリコン膜の膜中に含まれるN−H結合量を低減させることにより、窒化シリコン膜を緻密な膜とすることを可能とし、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
第2の発明によれば、第1の発明による効果に加え、バイアスパワーを変化させてイオンエネルギーを変化させることにより、窒化シリコン膜の膜中のN−H結合量を効率良く低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
第3の発明によれば、第2の発明による効果に加え、RFパワーを変化させてイオンエネルギーを変化させることにより、供給している窒素の分解を確実に行うことができるため、窒化シリコン膜の膜中のN−H結合の量をより効率よく低減させることができる。これにより、窒化シリコン膜の膜中のN−H結合量を効率良く低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
第4の発明によれば、第2の発明又は第3の発明による効果に加え、圧力を変化させてイオンエネルギーを変化させることにより、バイアスパワー印加時にイオン同士の衝突が減少し、イオンを効率よく基板表面に引き込むことができるため、窒化シリコン膜の膜中のN−H結合の量をより効率よく低減させることができる。これにより、窒化シリコン膜の膜中のN−H結合量を効率良く低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
第5の発明によれば、第2の発明乃至第4の発明のいずれかによる効果に加え、ガス供給量を変化させてイオンエネルギーを変化させることにより、シリコンと窒素が結合する確率が向上するため、窒化シリコン膜の膜中のN−H結合の量をより効率よく低減させることができる。これにより、窒化シリコン膜の膜中のN−H結合量を効率良く低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
第6の発明によれば、真空容器の内部にシリコン及び水素を含有する原料ガスを供給する原料ガス供給手段と、真空容器の内部に窒素ガスを供給する窒素ガス供給手段と、真空容器の内の圧力を制御する圧力制御手段と、真空容器の内部の原料ガスと窒素ガスにRFパワーを印加してプラズマを発生させるプラズマ発生手段と、真空容器の内部の下方に、処理対象となる基板を支持する加熱機能を有する支持手段と、支持手段にバイアスパワーを印加するバイアスパワー印加手段と、バイアスパワー印加手段のバイアスパワー、プラズマ発生手段のRFパワー、圧力制御手段の圧力、及び、原料ガス供給手段と窒素ガス供給手段のガス供給量をそれぞれ制御するパラメータ制御手段とを備え、パラメータ制御手段でバイアスパワー、RFパワー、圧力、及び、ガス供給量を制御することにより、窒化シリコン膜を成長させている間、原料ガス中の水素と窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の基板に印加し、窒化シリコン膜の膜中に含まれるN−H結合量を低減させることにより、窒化シリコン膜の膜中のN−H結合の量を低減させることができる。これにより、窒化シリコン膜の膜中のN−H結合量を効率良く低減させることができるため、窒化シリコン膜を緻密な膜とする事を可能とし、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
本発明に係るプラズマ処理方法、及び、プラズマ処理装置について図1から図8を用いて説明する。図1は本発明の一実施形態に係るプラズマ処理装置の構成図、図2は本発明の一実施形態に係るバイアスパワーと膜ストレスとの関係を示した図、図3はFTIR特性例を示した図、図4は本発明の一実施形態に係る膜ストレスとNH含有量の関係を示した図、図5は本発明の一実施形態に係るN2/SiH4と膜ストレスとの関係を示した図、図6は本発明の一実施形態に係る成膜圧力と膜ストレスとの関係を示した図、図7は膜中N−H結合量とSiN膜ストレスとの関係を示した図、図8は電子衝突によるCH4分子の解離性励起を示した図である。
図7にマグネトロンプラズマCVDとプラズマCVD(容量結合型プラズマCVD)における、膜中N−H結合量とSiN膜ストレスとの関係を示す。ここで横軸は膜中のN−H結合量、縦軸はSiN膜のストレスを示す。また、縦軸のSiN膜のストレスは、0より大きい値は引っ張り方向のストレスを表し、0より小さい値は圧縮方向のストレスを表している。
図7に示すように、N−H結合が増加すると膜のストレスは引っ張り方向に強くなり、逆に、N−H結合が減少すると膜のストレスは圧縮方向に強くなることが分かる。すなわち、圧縮ストレスを高めるためには、SiN膜中のN−H結合量を低減する事が非常に効果的である事が分かる。また、この傾向はマグネトロンプラズマCVDとプラズマCVD(容量結合型プラズマCVD)で同じ傾向を示しており、プラズマ処置装置の種類にかかわらないということを示唆している。
上述の膜中のN−H結合量を低減する方法には、以下の4点が考えられる。
(1)N−H結合を持たない原料ガスの選定
(2)N−H結合をできるだけ分解するプラズマパワーの印加
(3)N−H結合エネルギーと同じイオンエネルギーを有するイオンをN−H結合に衝突させ効率的にN−H結合を切断
上記の方法のうち(1)、(2)については高密度型プラズマ処理装置を用いる事により実現可能である。(1)のガスの選定は、シリコンを含む原料ガスとしてはLSIプロセスで最も実績の高いSiH4を、Nを含む原料ガスはNH3が一般的であるが、NH3はN−H結合を有するため、N2ガスを利用する事が好ましい。容量結合型のプラズマCVD装置の高周波パワー(RFパワー)は1kW以下でN2ガスを分解するにはパワー不足であるが、高密度型プラズマ処理装置は1kW以上の高周波電力を印加できるため、N2ガスを分解して用いる事ができる。
図8に電子衝突によるCH4分子の解離性励起のデータを示す。ここで、横軸は電子の衝突エネルギー、縦軸は励起される水素原子の量を示す。図8に示すように、分子の結合を切る(Hを切る)ために必要な衝突エネルギーには、最適値が存在することが分かる。図8より、この最適値はおよそ100eV付近となっていることが分かる。また、単純に衝突エネルギーが大きければより多くのHを切ることができる訳ではないということも分かる。これは衝突エネルギーが高すぎる場合は基板内部に潜り込んでしまうためであると考えられる。これがイオンの場合、過剰の衝突エネルギーは、Si−N結合まで切ってしまったり、スパッタリングによる膜の物理エッチングを引き起こしたりするなど、水素の結合を選択的に切る目的から大きく逸脱してしまう。また、過剰バイアスパワーは逆に水素イオンを引き込み、SiN膜中のN−H結合量を増大させる可能性も考えられる。
SiN膜の成膜処理中に水素を選択的に引き抜くためには、SiN膜の成膜時におけるバイアスパワーの印加による、成膜対象である基板表面へのイオン引き込みの利用が適している。高密度型プラズマ処理装置では、微細なギャップへの埋め込み性能を高めるため、成膜時にバイアス電圧を印加してエッチングを成膜と同時に実施する技術があり、高密度型プラズマ処理装置でバイアス電圧を印加することは知られている。ただし、これはSiOx成膜で使用されている技術である。
ここでもっとも重要なことは、エッチングに必要なバイアスパワーは直径200mmのウェハの場合であっても3kWに達し、衝突エネルギーについては一般的にスパッタ効率の高い200eV付近に設定される。このような条件をSiN膜の成膜に単に適用しても、図2からも明らかなように、最適なSi−HやN−H結合の減少を引き起こすことはできない。つまり、従来の高密度型プラズマCVD装置の基本仕様では、水素結合を切る目的でのバイアスパワーの適用は知られていなかった。
以下、本発明の一実施形態に係るプラズマ処理装置の構成について説明する。図1に本発明の一実施形態に係るプラズマ処理装置の構成図を示す。図1に示すように、プラズマ処理装置1は、高い真空度を維持できる真空容器10を備えている。この真空容器10は、筒状容器11の上部に天井板12を取り付けることで外気から密閉された空間を形成することができる。
真空容器10には、真空容器10の内部を真空状態に維持する真空装置13が設置されている。天井板12の上部にはプラズマ14を生成させるRFアンテナ15が設置されている。このRFアンテナ15には、整合器16を介して高周波電源であるRF電源17が接続されている。すなわち、RF電源17から供給されたRFパワーはRFアンテナ15によりプラズマ14に供給される。
筒状容器11の側壁の上部には、成膜する膜の原料となる原料ガスを真空容器10内に供給する原料ガス供給ノズル18が設置されている。本実施形態では、原料ガスとして、SiH4を供給する。さらに、筒状容器11の側壁の上部には、N2を真空容器10内に供給するN2供給ノズル19が設置されている。これにより、真空容器10の内部上方には、SiH4とN2とのプラズマ14が生成されることとなる。
筒状容器11内の下方には、成膜対象である基板20を保持するウェハ支持台21が設置されている。このウェハ支持台21は、基板20を載置する載置部22と、この載置部22を支持する支持軸23とにより構成されている。載置部22の内部には加熱のためのヒータ24が設置されている。これにより、プラズマ処理中の基板20の温度を所望の温度に制御することができる。基板がシリコンウェハの場合、熱膨張係数はSiNよりもシリコンの方が大きい為、処理中温度が高いほど常温に戻る際の収縮量の違いで圧縮側に応力が発生するが、処理温度の上限はLSIの適用部位で決まっている。例えば、配線工程の後に処理する場合、配線材料への影響を考慮して400℃以下が一般的な処理温度となっている。トランジスタの特性改善目的で成膜するトランジスタ近傍のSiN膜は400℃〜500℃が一般的である。
載置部22には、基板20に対しバイアス電圧を印加できるようにコンデンサ25及び整合器26を介してバイアス電源27が接続されている。これにより、基板20の表面にプラズマ14中からイオンを引き込むことができる。さらに、載置部22には、基板20を静電気力で保持できるように静電電源28が接続されている。静電電源28へのRF電源17やバイアス電源27のパワーが回り込まないように、ローパスフィルター(LPF)29を介して載置部22に接続している。
また、バイアス電源27のバイアスパワーと、RF電源17のRFパワーと、真空装置13の圧力と、原料ガス供給ノズル18及びN2ガス供給ノズル19のガス供給量とをそれぞれ制御することが可能なパラメータ制御装置(図示省略)が設置されている。
本実施形態においては、パラメータ制御装置によりバイアスパワー、RFパワー、圧力、及び、ガス供給量の各パラメータをそれぞれ制御することで、高い圧縮応力を有する窒化シリコン膜を生成した。以下、本実施形態に係るプラズマ処理方法について詳述する。
本実施形態に係るプラズマ処理方法では、高密度プラズマ処理装置に低出力のバイアス電源を採用し、N−H結合を切るために必要な最適バイアスを求めるべく、SiN膜の圧縮ストレスの改善実験を実施した。バイアス電源は300mm仕様の装置でも500W電源で十分となる。
図2に本発明の一実施形態に係るバイアスパワーと膜ストレスとの関係を示す。ここで、横軸をバイアスパワー(W)、縦軸を膜中ストレス(MPa)とする。また、実験は上述した本発明の一実施形態に係るプラズマ処理装置において行い、直径200mmのウェハを使用し、成膜条件は、SiH4=50sccm、N2=100sccm、RFパワー=2000W、処理温度250℃、圧力=2Pa以下とした。
図2に示すように、SiN膜の圧縮ストレスの改善実験により、膜ストレスは、僅か75Wのバイアスパワーを印加することにより、飛躍的に改善されることが確認された。さらに、バイアスパワーをより高くすると圧縮ストレスは低下してゆくことも確認された。また、バイアスパワーが45Wから140Wの間では、膜ストレスは−2000MPa以上となり、この範囲内であれば高い圧縮ストレスが得られるということが確認された。高密度プラズマ処理装置の通常の使用条件下では、バイアスパワーは500wから3000W付近に設定するため、このようなバイアス条件を見出すことは非常に困難である。
なお、印加するバイアスパワーは、ウェハの単位面積あたりのバイアスパワーが同じであればよい。換言すると、ウェハの単位面積あたりのバイアスパワーが同じになるようにバイアスパワーを印加しさえすればどのようなウェハの径であっても上記の条件を適用することが可能である。このため、直径が300mmのウェハの場合、300W程度までが有効な値であるということができる。
また、SiN膜中のN−H結合量は、FTIR(フーリエ変換赤外分光光度計)計測により求めることができる。図3にFTIR特性例を示す。図3に示すように、N−H結合のピークを確認することができる。
ここで、バイアスパワーを変更して、実際にストレス値の制御を行った際の圧縮ストレスと膜中のN−H含有量との関係を測定した。図4に本発明の一実施形態に係る膜ストレスとNH含有量の関係を示す。ここで、横軸をSiN膜のストレス(GPa)、縦軸をNH含有量(1020/cm3)とした。図4に示すように、僅か数百W以下の低いバイアス電圧を印加することにより、N−H結合量が低減し、圧縮ストレスを高めることができていることが確認された。
次に、SiH4の供給量に対するN2の供給量の比率であるガス流量比率と膜ストレスとの関係についても測定した。図5にN2/Si4と膜ストレスとの関係を示す。ここで、横軸をN2/SiH4、縦軸をSiN膜のストレス(MPa)とした。図5に示すように、N2の供給量は、SiH4の供給量に対し3倍以上の比率で添加すると良いことが分かる。
次に、成膜時の圧力と膜ストレスとの関係についても測定した。図6に本発明の一実施形態に係る成膜時の圧力と膜ストレスとの関係を示す。ここで、横軸を成膜時の圧力(mTorr)、縦軸をSiN膜のストレス(MPa)とした。図6に示すように、圧縮ストレスを高めるためには成膜時の圧力は低いほど良い。そして、SiN膜のストレスを−3000Mpa以上、すなわち、圧縮ストレスを3GPa以上とするためには、成膜時の圧力は15mTorr以下、すなわち、2Pa以下とすれば良いことが分かる。
これらのことから、高い圧縮ストレスを有するSiNを形成するための条件として、供給するガス種はSiH4とN2とし、RFパワーは2000W以上とし、バイアスパワーは45から300Wとし、N2の供給量は、SiH4の供給量に対し3倍以上の比率で添加し、圧力は2Pa以下とするとよいという結論が得られた。
このように、本実施形態に係るプラズマ処理方法によれば、シリコンを含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基板に対してプラズマ処理を行うプラズマ処理方法において、窒化シリコン膜の処理時にバイアスパワーを印加し、窒化シリコン膜の膜中のN−H結合量を低減させることにより、窒化シリコン膜の膜中のN−H結合の量を低減させることができる。また、窒化シリコン膜の膜中のN−H結合の量を低減させることにより、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
また、バイアスパワーを直径200mmあたり45Wから140Wとし、バイアスパワーを変化させてイオンエネルギーを変化させることにより、窒化シリコン膜の膜中のN−H結合を効率よく切断することができる。これにより、窒化シリコン膜の膜中のN−H結合の量を低減させることができるため、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
また、RFパワーを直径200mmあたり2kW以上とし、RFパワーを変化させてイオンエネルギーを変化させることにより、供給している窒素の分解を確実に行うことができるため、より効率よく窒化シリコン膜の膜中のN−H結合の量を低減させることができる。
また、圧力を2Pa以下とし、圧力を変化させてイオンエネルギーを変化させることにより、バイアスパワー印加時にイオン同士の衝突が減少するため、イオンを効率よく基板表面に引き込むことができ、N−H結合を効率よく切断することができる。
また、シリコンを含有する原料ガスの供給量に対し、窒素ガスの供給量を3倍以上とし、ガス供給量を変化させてイオンエネルギーを変化させることにより、シリコンと窒素が結合する確率が向上するため、窒化シリコン膜の膜中のN−H結合の量を低減させることができる。
また、本実施形態に係るプラズマ処理装置によれば、真空容器10の内部にシリコン及び水素を含有する原料ガスを供給する原料ガス供給ノズル18と、真空容器10の内部に窒素ガスを供給するN2供給ノズル19と、真空容器10の内の圧力を制御する真空装置13と、真空容器10の内部の原料ガスと窒素ガスにRFパワーを印加してプラズマを発生させるRFアンテナ15、及び、RF電源17等と、真空容器10の内部の下方に、処理対象となる基板20を支持する加熱機能を有する載置部22と、載置部22にバイアスパワーを印加するバイアス電源27と、バイアス電源27のバイアスパワー、RFアンテナ15、及び、RF電源17等のRFパワー、真空装置13の圧力、及び、原料ガス供給ノズル18とN2供給ノズル19のガス供給量をそれぞれ制御するパラメータ制御装置とを備え、パラメータ制御装置でバイアスパワー、RFパワー、圧力、及び、ガス供給量を制御することにより、窒化シリコン膜を成長させている間、原料ガス中の水素と窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の基板20に印加し、窒化シリコン膜の膜中に含まれるN−H結合量を低減させることにより、窒化シリコン膜の膜中のN−H結合の量を低減させることができる。これにより、窒化シリコン膜の膜中のN−H結合量を効率良く低減させることができるため、窒化シリコン膜を緻密な膜とする事を可能とし、窒化シリコン膜の圧縮ストレスを飛躍的に高めることができる。
本発明の一実施形態に係るプラズマ処理装置の構成図である。 本発明の一実施形態に係るバイアスパワーと膜ストレスとの関係を示した図である。 FTIR特性例を示した図である。 本発明の一実施形態に係る膜ストレスとNH含有量の関係を示した図である。 本発明の一実施形態に係るN2/SiH4と膜ストレスとの関係を示した図である。 本発明の一実施形態に係る成膜圧力と膜ストレスとの関係を示した図である。 膜中N−H結合量とSiN膜ストレスとの関係を示した図である。 電子衝突によるCH4分子の解離性励起を示した図である。
符号の説明
1 プラズマ処理装置
10 真空容器
11 筒状容器
12 天井板
13 真空装置
14 プラズマ
15 RFアンテナ
16,26 整合器
17 RF電源
18 原料ガス供給ノズル
19 N2供給ノズル
20 基板
21 ウェハ支持台
22 載置部
23 支持軸
24 ヒータ
25 コンデンサ
27 バイアス電源
28 静電電源
29 ローパスフィルター(LPF)

Claims (6)

  1. シリコン及び水素を含有する原料ガスと窒素ガスとのプラズマにより、処理対象の基板に対して窒化シリコン膜を成長させるプラズマ処理方法において、
    前記窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の前記基板に照射し、前記窒化シリコン膜の膜中に含まれるN−H結合量を低減させる
    ことを特徴とするプラズマ処理方法。
  2. 請求項1に記載のプラズマ処理方法において、
    処理対象の前記基板に印加するバイアスパワーを変化させてイオンエネルギーを変化させる
    ことを特徴とするプラズマ処理方法。
  3. 請求項2に記載のプラズマ処理方法において、
    プラズマを生成する為に印加するRFパワーを変化させてイオンエネルギーを変化させる
    ことを特徴とするプラズマ処理方法。
  4. 請求項2又は請求項3に記載のプラズマ処理方法において、
    圧力を変化させてイオンエネルギーを変化させる
    ことを特徴とするプラズマ処理方法。
  5. 請求項2乃至請求項4のいずれかに記載のプラズマ処理方法において、
    ガス供給量を変化させてイオンエネルギーを変化させる
    ことを特徴とするプラズマ処理方法。
  6. 真空容器の内部にシリコン及び水素を含有する原料ガスを供給する原料ガス供給手段と、
    真空容器の内部に窒素ガスを供給する窒素ガス供給手段と、
    前記真空容器の内の圧力を制御する圧力制御手段と、
    前記真空容器の内部の前記原料ガスと前記窒素ガスにRFパワーを印加してプラズマを発生させるプラズマ発生手段と、
    前記真空容器の内部の下方に、処理対象となる基板を支持する加熱機能を有する支持手段と、
    前記支持手段にバイアスパワーを印加するバイアスパワー印加手段と、
    前記バイアスパワー印加手段の前記バイアスパワー、前記プラズマ発生手段の前記RFパワー、前記圧力制御手段の前記圧力、及び、前記原料ガス供給手段と前記窒素ガス供給手段のガス供給量をそれぞれ制御するパラメータ制御手段と
    を備え、
    前記パラメータ制御手段で前記バイアスパワー、前記RFパワー、前記圧力、及び、前記ガス供給量を制御することにより、窒化シリコン膜を成長させている間、前記原料ガス中の水素と前記窒素ガスの結合状態であるN−H結合を切断するためのイオンエネルギーを処理対象の前記基板に印加し、前記窒化シリコン膜の膜中に含まれるN−H結合量を低減させる
    ことを特徴とするプラズマ処理装置。
JP2006219839A 2006-08-11 2006-08-11 プラズマ処理方法、及び、プラズマ処理装置 Withdrawn JP2008047620A (ja)

Priority Applications (6)

Application Number Priority Date Filing Date Title
JP2006219839A JP2008047620A (ja) 2006-08-11 2006-08-11 プラズマ処理方法、及び、プラズマ処理装置
PCT/JP2007/064500 WO2008018291A1 (fr) 2006-08-11 2007-07-24 Procédé de traitement par plasma et appareil de traitement par plasma
EP07791225A EP2051290A4 (en) 2006-08-11 2007-07-24 PLASMA PROCESSING METHOD AND PLASMA PROCESSING DEVICE
US12/373,146 US7972946B2 (en) 2006-08-11 2007-07-24 Plasma treatment method and plasma treatment device
KR1020087031919A KR101081783B1 (ko) 2006-08-11 2007-07-24 플라즈마 처리 방법 및 플라즈마 처리 장치
TW096127583A TW200822220A (en) 2006-08-11 2007-07-27 Plasma processing method and plasma processing apparatus

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2006219839A JP2008047620A (ja) 2006-08-11 2006-08-11 プラズマ処理方法、及び、プラズマ処理装置

Publications (1)

Publication Number Publication Date
JP2008047620A true JP2008047620A (ja) 2008-02-28

Family

ID=39032825

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2006219839A Withdrawn JP2008047620A (ja) 2006-08-11 2006-08-11 プラズマ処理方法、及び、プラズマ処理装置

Country Status (6)

Country Link
US (1) US7972946B2 (ja)
EP (1) EP2051290A4 (ja)
JP (1) JP2008047620A (ja)
KR (1) KR101081783B1 (ja)
TW (1) TW200822220A (ja)
WO (1) WO2008018291A1 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018190986A (ja) * 2017-05-11 2018-11-29 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
KR20200060473A (ko) 2017-10-10 2020-05-29 도쿄엘렉트론가부시키가이샤 성막 방법
JP2020529736A (ja) * 2017-08-04 2020-10-08 ラム リサーチ コーポレーションLam Research Corporation 水平表面上におけるSiNの選択的堆積
JP7057041B1 (ja) * 2021-12-08 2022-04-19 株式会社京都セミコンダクター 窒化珪素膜の形成方法

Families Citing this family (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5297048B2 (ja) * 2008-01-28 2013-09-25 三菱重工業株式会社 プラズマ処理方法及びプラズマ処理装置
US8771538B2 (en) 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US8742665B2 (en) 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
KR101096909B1 (ko) * 2009-12-04 2011-12-22 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 형성방법
JP5495940B2 (ja) 2010-05-21 2014-05-21 三菱重工業株式会社 半導体素子の窒化珪素膜、窒化珪素膜の製造方法及び装置
KR101223724B1 (ko) 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
JP2015179700A (ja) * 2014-03-18 2015-10-08 キヤノン株式会社 固体撮像素子の製造方法
CN113517170B (zh) * 2021-07-09 2024-02-09 长鑫存储技术有限公司 半导体结构的制造方法、半导体结构与存储器

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0635323B2 (ja) * 1982-06-25 1994-05-11 株式会社日立製作所 表面処理方法
JPS6130040A (ja) * 1984-07-20 1986-02-12 Anelva Corp 薄膜作成装置
JPH07111261A (ja) * 1993-08-16 1995-04-25 Canon Sales Co Inc 成膜装置及び成膜方法
US5620523A (en) 1994-04-11 1997-04-15 Canon Sales Co., Inc. Apparatus for forming film
TW584902B (en) 2000-06-19 2004-04-21 Applied Materials Inc Method of plasma processing silicon nitride using argon, nitrogen and silane gases
JP3725100B2 (ja) 2002-07-31 2005-12-07 アプライド マテリアルズ インコーポレイテッド 成膜方法
US20050215005A1 (en) * 2003-03-06 2005-09-29 Lsi Logic Corporation Capacitor with stoichiometrically adjusted dielectric and method of fabricating same
US7244474B2 (en) * 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US20060105106A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2018190986A (ja) * 2017-05-11 2018-11-29 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
JP7233173B2 (ja) 2017-05-11 2023-03-06 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
JP2020529736A (ja) * 2017-08-04 2020-10-08 ラム リサーチ コーポレーションLam Research Corporation 水平表面上におけるSiNの選択的堆積
JP7344867B2 (ja) 2017-08-04 2023-09-14 ラム リサーチ コーポレーション 水平表面上におけるSiNの選択的堆積
KR20200060473A (ko) 2017-10-10 2020-05-29 도쿄엘렉트론가부시키가이샤 성막 방법
JP7057041B1 (ja) * 2021-12-08 2022-04-19 株式会社京都セミコンダクター 窒化珪素膜の形成方法
WO2023105680A1 (ja) * 2021-12-08 2023-06-15 株式会社京都セミコンダクター 窒化珪素膜の形成方法

Also Published As

Publication number Publication date
TWI358088B (ja) 2012-02-11
US7972946B2 (en) 2011-07-05
KR20090015158A (ko) 2009-02-11
WO2008018291A1 (fr) 2008-02-14
KR101081783B1 (ko) 2011-11-09
EP2051290A4 (en) 2010-04-21
US20090176380A1 (en) 2009-07-09
EP2051290A1 (en) 2009-04-22
TW200822220A (en) 2008-05-16

Similar Documents

Publication Publication Date Title
JP2008047620A (ja) プラズマ処理方法、及び、プラズマ処理装置
US20220415660A1 (en) Processing apparatus
JP6787868B2 (ja) 低k及びその他の誘電体膜をエッチングするための処理チャンバ
JP5706946B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
CN106796883B (zh) 用于三维nand硬膜应用的纳米结晶金刚石碳膜
CN108220922B (zh) 成膜方法、硼膜以及成膜装置
CN101511969B (zh) 用于干法刻蚀含铪材料的方法和系统
TWI688997B (zh) 閘極電極材料殘留物移除製程
KR20160055877A (ko) 주기적 에칭 프로세스를 이용하여 에칭 스톱 층을 에칭하기 위한 방법들
US9177787B2 (en) NH3 containing plasma nitridation of a layer of a three dimensional structure on a substrate
JP2014120661A (ja) ダミーゲートを形成する方法
WO2012049943A1 (ja) 窒化珪素膜形成装置及び方法
JP6063181B2 (ja) プラズマ処理方法、及びプラズマ処理装置
JP2006237478A (ja) 窒化ホウ素膜の成膜方法及び成膜装置
JP2003059918A (ja) プラズマ処理方法、プラズマ処理装置及び半導体装置の製造方法
KR102271689B1 (ko) 플라즈마 처리 방법
US11244804B2 (en) Etching method, plasma processing apparatus, and processing system
JP2022102856A (ja) プラズマ処理装置及びプラズマ処理方法
JP7005367B2 (ja) ボロン系膜の成膜方法および成膜装置
JP2021118347A (ja) エッチング方法、基板処理装置、及び基板処理システム
TW201831723A (zh) 成膜方法、硼膜、及成膜裝置
JP7441244B2 (ja) 低い粗さのダイヤモンドフィルムの堆積
US20240128089A1 (en) Method to selectively etch silicon nitride to silicon oxide using water crystallization
JP2022102855A (ja) プラズマ処理装置及びプラズマ処理方法
WO2009123325A1 (ja) 窒化珪素膜の製造方法、窒化珪素膜積層体の製造方法、コンピュータ読み取り可能な記憶媒体およびプラズマcvd装置

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20091110