JP7233173B2 - トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法 - Google Patents

トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法 Download PDF

Info

Publication number
JP7233173B2
JP7233173B2 JP2018091418A JP2018091418A JP7233173B2 JP 7233173 B2 JP7233173 B2 JP 7233173B2 JP 2018091418 A JP2018091418 A JP 2018091418A JP 2018091418 A JP2018091418 A JP 2018091418A JP 7233173 B2 JP7233173 B2 JP 7233173B2
Authority
JP
Japan
Prior art keywords
film
dielectric film
plasma
sidewalls
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2018091418A
Other languages
English (en)
Other versions
JP2018190986A (ja
Inventor
大 石川
篤毅 深澤
英一朗 芝
真也 上田
大志 胡谷
スンジュ チュン
ヨンミン ユー
ユンキ ミン
セヨン キム
ジョンワン チェ
Original Assignee
エーエスエム アイピー ホールディング ビー.ブイ.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US15/592,730 external-priority patent/US10529554B2/en
Application filed by エーエスエム アイピー ホールディング ビー.ブイ. filed Critical エーエスエム アイピー ホールディング ビー.ブイ.
Publication of JP2018190986A publication Critical patent/JP2018190986A/ja
Application granted granted Critical
Publication of JP7233173B2 publication Critical patent/JP7233173B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/513Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using plasma jets
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching

Description

関連出願の相互参照
本願は、2016年2月19日に出願された米国特許出願第15/048,422号の一部継続出願であり、その開示は、その全体が参照によって本明細書に援用される。本明細書の出願人/発明者は、本願によって支持される主題についての親、子又は関連審査履歴でなされる従前のディスクレーマー又は否認を明示的に取り消す及び撤回する。
本発明は、概して、基板の上面に形成されたトレンチにSi-N結合を含む誘電体膜によって構成される層構造を製造する方法に関する。
大規模集積回路(LSI)の製造プロセスでは、トレンチに側壁を形成するいくつかのプロセスがある。側壁は、スペーサとして用いられる、又はトレンチの側面から構造のエッチングをブロックするために用いられる。従来、側壁は、トレンチの表面にコンフォーマルな膜を形成し、その後、トレンチが形成された上面に形成される部分及びトレンチの底面に形成される部分を非対称なエッチングにより除去することによって形成されていた。しかし、このような形成方法が用いられるとき、側壁の厚さが底面の近傍及び底面で増加して、傾斜面を形成する側壁のフッティング(裾引き)を除去するためにオーバーエッチングが要求される。オーバーエッチングは、下の層のエッチングを生じ、層構造に損傷を生じる。
関連する分野に含まれる課題及び解決手段の説明は、単に本発明の文脈を提供する目的で本開示に含まれているものであり、説明のいずれか又は全てが、本発明がなされたときに既知であることを認めるものとして受け取られるべきではない。
一部の実施形態では、トレンチが形成された基板の上面及びトレンチの底面に形成された膜並びにトレンチの側壁に形成された膜は、ウェットエッチングと関連付けられた異なる膜特性(つまり、膜特性の方向性制御)を保有する。基板をウェットエッチングにさらすことにより、トレンチの上面/底面に形成された膜又はトレンチの側壁に形成された膜のいずれかを選択的に除去することができる、つまり、トレンチ構造の水平方向に延びる膜又は垂直方向に延びる膜のいずれかを選択的に形成することができる。上記方法によれば、トレンチ構造の水平層又は垂直層は、エッチング手段(つまり、膜形成の方向性制御)としてドライエッチングを行わずに、ウェットエッチングによってのみ選択的に形成されうる。
一部の実施形態では、方向性制御された膜特性を有する膜は、プラズマエンハンスト化学気相堆積(PECVD)又はプラズマエンハンスト原子層堆積(PEALD)によって堆積された窒化ケイ素膜でありうる。それに代えて、一部の実施形態では、窒化ケイ素膜は、方向性制御せずに堆積され、その後、膜は、膜特性の方向性を提供するように処理される。すなわち、イオン衝突が、膜の堆積中又は膜の堆積後に窒化ケイ素膜に与えられるとき、不純物は、膜から除去されることができ、それにより、膜の高密度化を生じ、膜品質を改善するが、イオン衝突が、強化され、膜に垂直な方向に誘電体膜に非対称に与えられるとき、膜品質は低下し、それにより、Si-N結合を解離し、膜の密度を低減させ、ウェットエッチング速度を増大させる。イオン衝突は、膜の高密度化を生じ、ウェットエッチング速度を低減させると考えられるため、上記の現象は、総合的には予期されない。イオン衝突の強度は、イオンの入射方向、イオンの量及びイオンのエネルギーを制御することができる平行平板電極構成、例えば、容量結合プラズマを用いて生成されるプラズマによって方向性制御されうる。本発明を限定することを意図しない上記に原理に基づいて、膜特性の方向性は制御されうる。
本発明の態様及び関連分野に対して達成された利点を要約する目的のために、本発明の特定の目的及び利点が本開示に記載されている。もちろん、全てのこのような目的又は利点は本発明の任意の特定の実施形態に従って達成され得ることを必ずしも必要としないことは理解される。したがって、例えば、当業者は、本明細書に教示又は示唆され得るような他の目的又は利点を必ずしも達成しなくても、本明細書に教示されている1つの利点又は1群の利点を達成又は最適化するように本発明が具現化され得るか又は実施され得ることを認識するであろう。
本発明のさらなる態様、特徴及び利点は以下の詳細な説明から明らかになるであろう。
本発明のこれら及び他の特徴を好ましい実施形態の図面を参照して記載するが、それらは本発明を例示するためであり、本発明を限定するものではない。図面は、説明の都合上、非常に簡略化されており、必ずしも縮尺通りではない。
図1Aは、本発明の実施形態で使用可能な保護膜を堆積するPEALD(plasma-enhanced atomic layer deposition)装置の概略図である。 図1Bは、本発明の実施形態で使用可能なフローパスシステム(FPS)を用いる前駆体供給システムの概略図を示す。 図2は、本発明の実施形態に係る層構造を製造するステップを示すフローチャートである。 図3は、本発明の別の実施形態に係る層構造を製造するステップを示すフローチャートである。 図4は、本発明の更に別の実施形態に係る層構造を製造するステップを示すフローチャートである。 図5は、本発明の更に別の実施形態に係る層構造を製造するステップを示すフローチャートである。 図6は、本発明の異なる実施形態に係る層構造を製造するステップを示すフローチャートである。 図7は、本発明の実施形態に係る、RFパワーと、上面上に形成される膜のウェットエッチ速度及びトレンチの側壁に形成される膜のウェットエッチ速度との関係を示し、閾値(基準)RFパワーを示すグラフである。 図8は、本発明の実施形態に係る、形成された窒化ケイ素膜の断面視の走査電子顕微鏡(SEM)写真を示す。 図9は、本発明の実施形態に係る、形成された窒化ケイ素膜の断面視の走査電子顕微鏡(SEM)写真を示す。 図10は、本発明の実施形態に係る、形成された窒化ケイ素膜の断面視を示す。 図11は、本発明の別の実施形態に係る、形成された窒化ケイ素膜の断面視を示す。 図12は、本発明の実施形態に係る、RFパワーと、形成されたSiNのSi-Nピーク強度[au]との関係を示すグラフである。 図13は、本発明の実施形態に係る、RFパワーと、SiN膜の密度[g/cm]との関係を示すグラフである。 図14は、本発明の実施形態に係る、プラズマ密度と、上面上に形成される膜のウェットエッチ速度及びトレンチの側壁に形成される膜のウェットエッチ速度との関係を示すグラフである。 図15は、本発明の実施形態に係る、形成された窒化ケイ素膜の断面視の走査電子顕微鏡(SEM)写真を示す。 図16は、本発明の別の実施形態に係る、形成された窒化ケイ素膜の断面視の走査電子顕微鏡(SEM)写真を示す。 図17は、本発明の更に別の実施形態に係る、形成された窒化ケイ素膜の断面視の走査電子顕微鏡(SEM)写真を示す。
本開示において、「ガス」は、蒸発した固体及び/又は液体を含んでもよく、単一のガス又はガスの混合物により構成されてもよい。本開示では、シャワーヘッドを通じて反応チャンバへ導入されるプロセスガスは、前駆体ガス及び添加ガスを含むか、実質的に前駆体ガス及び添加ガスからなるか、又は前駆体ガス及び添加ガスからなってもよい。前駆体ガス及び添加ガスは、典型的には、混合ガスとして導入される、又は反応空間へ別々に導入される。前駆体ガスは、例えば希ガス等のようなキャリアガスと共に導入されうる。添加ガスは、反応ガス及び例えば希ガス等のような希釈ガスで構成される、実質的に反応ガス及び希釈ガスからなる、又は反応ガス及び希釈ガスからなってもよい。反応ガス及び希釈ガスは、混合ガスとして導入されてもよく、又は反応空間へ別々に導入されてもよい。前駆体は、2以上の前駆体で構成されてもよく、反応ガスは、2以上の反応ガスで構成されてもよい。前駆体は、基板に化学吸着されるガスであり、典型的には、誘電体膜の母材の主要構造を構成する半金属又は金属元素を含み、堆積のための反応ガスは、基板に原子層又はモノレイヤを固定するためにガスが励起されるとき、基板に化学吸着される前駆体と反応するガスである。「化学吸着」は、化学飽和吸着を指す。プロセスガス以外のガス、すなわち、シャワーヘッドを通過せずに導入されるガスは、例えば反応空間をシールするために用いられてもよく、例えば希ガスのようなシールガスを含む。一部の実施形態では、「膜」は、ターゲットとなる表面もしくは対象となる表面全体を覆うためにピンホールを有さずに実質的に厚さ方向に垂直な方向に連続して延びる層、又はターゲットとなる表面もしくは対象となる表面を単に覆う層を指す。一部の実施形態では、「層」は、表面上に形成される特定の厚さを有する構造又は膜の同義語又は非膜構造を指す。膜又は層は、特定の性質を有する別個の単一の膜もしくは層又は複数の膜もしくは層により構成されてもよく、隣接する膜又は層の間の境界は明確であってもよく、又は明確でなくてもよく、物理的、化学的及び/もしくは任意の他の特性、形成プロセスもしくは順序、並びに/又は隣接する膜もしくは層の機能もしくは目的に基づいて規定されてもよい。
本開示では、「Si-N結合を含む」とは、1つのSi-N結合又は複数のSi-N結合によって実質的に構成される主要骨格を有する、及び/又は1つのSi-N結合又は複数のSi-N結合によって実質的に構成される置換基を有する、1つのSi-N結合又は複数のSi-N結合によって特徴付けられることを指してもよい。Si-N結合を含む誘電体膜は、約2から10、典型的には約4から8の誘電定数を有するSiN膜及びSiON膜を含むが、これらに限定されない。
本開示では、「アニーリング」は、その間に材料が安定な形態を取るために処理されるプロセス、例えば、成分に存在する末端基(例えば、アルコール基及びヒドロキシル基)が、より安定な基(例えば、Si-Me基)に置換される及び/又はより安定な形態(例えば、Si-O結合)を形成する、典型的には膜の高密度化を生じるプロセスを指す。
更に、本開示では、「一つ」の物品は、特に定めない限り、一つの種類又は複数の種類を含む属性を指す。用語「構成される」及び「有する」は、独立して、一部の実施形態における「典型的に又は広義に備える」、「備える」、「実質的に~からなる」、又は「からなる」を指す。また、本開示において、任意の定義された意味は、一部の実施形態において、通常及び慣例の意味を必ずしも除外しているわけではない。
更に、本開示において、任意の2つの数の変数は、その変数の実行可能な範囲を構成でき、実行可能な範囲は通常作業に基づいて決定でき、示された任意の範囲はエンドポイントを含んでいてもよく、又は除外していてもよい。更に、示された変数の任意の値(それらが「約」と共に示されているか否かに関わらず)は、正確な値又はおおよその値を指し、同値を含んでもよく、一部の実施形態において、平均値、中央値、代表値、多数値等を指してもよい。
条件及び/又は構造が特定されていない本開示において、当業者は、通常の実験として、本開示を考慮してそのような条件及び/又は構造を容易に得ることができる。開示された実施形態の全てにおいて、一実施形態において使用されている任意の要素は、意図される目的のために本明細書に明確、必然的又は本質的に開示されている要素を含む、要素と等価の任意の要素と置き換えられてもよい。更に、本発明は装置及び方法に同様に適用されてもよい。
実施形態は、好ましい実施形態に対して説明される。しかし、本発明は、好ましい実施形態に限定されない。
一部の実施形態は、基板の上面に形成されるトレンチにSi-N結合を含む誘電体膜によって構成される層構造を製造する方法であって、(i)上面並びにトレンチの底面及び側壁上にSi-N結合を含む誘電体膜を同時に形成するステップであって、上面及び底面上に形成される誘電体膜の上部/底部と、側壁上に形成される誘電体膜の側壁部とは、2つの電極間に電圧を印加することによって励起されるプラズマの衝突によって、異なる化学物質耐性を付与され、基板は、2つの電極間に2つの電極と平行に置かれる、ステップと、(ii)異なる化学物質耐性に従って誘電体膜の上部/底部及び側壁部のいずれか一方を他方よりも圧倒的に除去するウェットエッチングによって、誘電体膜の上部/底部及び側壁部の両方ではなくいずれか一方を実質的に除去するステップと、を備える方法を提供する。用語「同時に形成する」は、同一プロセスで又は同一ステップで一般的に又は実質的に同時に形成することを指してもよく、これは、同一プロセスで又は同一ステップで一般的に又は実質的に同時に堆積すること、及び/又は同一プロセスで又は同一ステップで一般的に又は実質的に同時に処理することを含む。本開示では、用語「本質的」又は「実質的」は、意図される目的又は機能にとって十分であると当業者によって認識される十分な、多量の、又は材料の量、大きさ、時間又は空間(例えば、総合的な値又は基準とされる値に対して少なくとも70%、80%、90%又は95%)を指してもよい。
図2は、本発明の実施形態に係る層構造を製造するステップを示すフローチャートである。ステップS1及びステップS2は、それぞれ、ステップ(i)及びステップ(ii)に対応する。ステップS1において、プラズマ衝撃を用いることにより、膜特性の方向性を有する誘電体膜は、トレンチに亘って形成される。プラズマ衝撃は、膜の堆積中又は膜の堆積の完了後に加えられうる。ステップS2において、膜の上部/底部と膜の側壁部との膜特性の差に応じて、膜の部分の一方は、ウェットエッチングによって他方よりも圧倒的にエッチングされ、層構造における部分の一方のみが残る。
ステップS2において、ウェットエッチングは、例えば、フッ化水素(HF)用いて行われる。
その間に基板が2つの電極に平行に配置される2つの電極間に電圧を印加することによって励起されるプラズマの衝突を調節することによって、上面及び底面に形成される誘電体膜の上部/底部と、側壁に形成される誘電体膜の側壁部とは、異なる化学物質耐性が付与されうる。プラズマは、高い自由電子量(約50%)の部分的にイオン化されたガスであり、平行電極間にAC電圧を印加することによってプラズマが励起されるとき、プラズマシースと下部電極との間で発生する自己dcバイアス(VDC)によって加速され、イオンは、下部電極に配置される基板上の膜に、膜に垂直な方向(イオン入射方向)で衝突する。プラズマの衝突は、プラズマ密度又はイオンの運動エネルギー(イオンエネルギー)によって表されうる。プラズマ密度は、圧力及びRFパワーを調節することによって主に調節されうる(圧力が低くなり、かつRFパワーが高いと、プラズマ密度が高くなる)。プラズマ密度は、dcバイアス電圧又はAC電圧を、後にイオンに対する低い周波数セットで印加することによっても調節されうる(<1MHz)。プラズマ密度は、プローブ方法を用いて決定されうる(例えば、“High accuracy plasma density measurement using hybrid Langmuir probe and microwave interferometer method”, Deline C, et al., Rev. Sci. Instrum. 2007 Nov; 78(11): 113504、この開示は、その全体が参照により本明細書に援用される)。プラズマにプローブを挿入し、そこに電圧を印加したとき、電流は、プローブを通じて流れ、これは、以下のように算出されることができる「イオン飽和電流」(I)と呼ばれ、その後、プラズマ密度(N)は、以下のように算出されうる。
=e×N√(kT/M)×exp(1/2)eA; N=I√(M/kT)/exp(1/2)eA、ここで、I:イオン飽和電流(A)、A:プローブの表面積(m)、e:電荷(C)、Ne:電子密度(m-3)、k:ボルツマン定数(J/K)、Te:電子温度(K)、M:イオン質量(kg)。
図14は、本発明の実施形態に係る、プラズマ密度と、上面に形成される膜のウェットエッチング速度及びトレンチの側壁に形成される膜のウェットエッチング速度との関係を示すグラフである。このグラフでは、化学物質耐性は、ウェットエッチング速度によって表される。膜の上面/底面では、プラズマ衝突は、一般的に、膜表面に垂直な方向に与えられるが、膜の側壁面では、プラズマ衝突は、一般的に、膜表面に平行な方向に与えられる。トレンチの上面/底面に形成される膜のウェットエッチング速度は、プラズマ密度が低いとき、膜に与えられるプラズマに含まれるイオンが不純物を除去するので、低く、膜の高密度化を生じる。しかし、図14に示されるように、イオンの投与量がSi-N結合の解離を促進するほど高いので、上面/底面に形成される膜のウェットエッチング速度は、プラズマ密度が増加すると増加する。一方、プラズマ密度が低いとき、膜に与えられるプラズマに含まれるイオンの投与量が、不純物を除去し、かつ膜の高密度化を生じるには不充分であるため、トレンチの側壁面に形成される膜のウェットエッチング速度は、高い。しかし、側壁面に形成される膜のウェットエッチング速度は、図14に示されるようにプラズマ密度が増加すると減少する。すなわち、上面/底面に形成される膜の膜品質は、プラズマ密度が増加すると低下し、側壁面に形成される膜の膜品質は、プラズマ密度が増加すると向上する。よって、上面/底面上の膜の膜品質(又は膜特性)と、側壁上の膜の膜品質(又は膜特性)とが実質的に等しい、つまり、プラズマ密度と、上面/底面に形成される膜のウェットエッチング速度及び側壁に形成される膜のウェットエッチング速度との関係を示す線分は、図14に示されるような閾値点で交差する。上面/底面上の膜の膜特性及び側壁面上の膜の膜特性は、閾値点で逆転する。したがって、プラズマ密度を調整することによって、膜特性の方向性を有する膜が得られうる。プラズマ密度が、閾値点よりも低く設定されるとき、側壁上の膜は、ウェットエッチングによって上面/底面上の膜よりも圧倒的に除去されることができるが、プラズマ密度が閾値点よりも高く設定されるとき、上面/底面上の膜は、ウェットエッチングによって、側壁上の膜よりも圧倒的に除去されることができる。したがって、所望の層構造を製造することができる。
図14では、交点(閾値点)が、電圧の印加の期間、周波数、圧力、電極間の距離、温度等に従って変更され、ここで、一般的に、交点では、電圧の印加の期間が長くなり、圧力が低くなり、プラズマ密度が低くなる。圧力、RFパワー、電圧等が一定であるとき、ウェットエッチング速度と、平行な電極間のRFパワーとの間で図14に示されるものと実質的に同様の関係が得られうることを留意するべきである。閾値点は、本開示及び通常の実験に基づいてステップ(i)及び(ii)の前に決定されうる。よって、一部の実施形態では、層構造を製造するための方法は、更に、ステップ(i)及び(ii)の前に、閾値点(基準点)を決定するために以下のステップを繰り返すことを含む。(a)電圧が変数として変化することを除いてステップ(i)と同一の条件下で誘電体膜を同時に形成するステップ、及び(b)ステップ(ii)と同一の条件下で、ウェットエッチングによって誘電体膜の上部/底部及び誘電体膜の側壁部の両方ではなく一方を実質的に除去するステップ。
図3は、本発明の実施形態に係る層構造を製造するステップを示すフローチャートである。ステップS11は、ステップ(a)及び(b)に対応し、ステップS12及びS13は、それぞれ、ステップ(i)及びステップ(ii)に対応する。ステップS11では、膜の上部/底部及び膜の側壁部の膜特性を逆転するプラズマ衝突のための閾値電圧が決定される。ステップS12では、決定された閾値電圧を参照して調整された電圧でのプラズマ衝突を用いることにより、膜特性の方向性を有する誘電体膜がトレンチに亘って形成される。例えば、閾値電圧よりも高い電圧がステップS12の電極間に印加されるとき、膜の上部/底部のウェットエッチング速度は、膜の側壁部のウェットエッチング速度よりも高くなり、その結果、ステップS13では、ウェットエッチングによって、膜の側壁部ではなく、膜の上部/底部を圧倒的に除去する。一方、閾値電圧よりも低い電圧がステップS12の電極間に印加されるとき、膜の側壁部のウェットエッチング速度は、膜の上部/底部のウェットエッチング速度よりも高くなり、その結果、ステップS13では、ウェットエッチングによって、膜の上部/底部ではなく、膜の側壁部を圧倒的に除去する。
平行な電極構成を用いずにイオン衝突が膜に与えられるとき、例えば、低圧化学気相成長(low-pressure chemical vapor deposition(LPCVD))で反応物質を用いることにより、LPCVDでの反応物質が、非対称なイオン衝突を形成しない、つまり、膜特性の方向性を形成しないため、図14に示されるような閾値点は、得られないであろう。例えば、米国特許出願公開第2003/0029839号公報は、N のような窒素含有イオンが、窒素リッチな層を形成するために注入され、続いて、層のウェットエッチング速度を減少させるように層のSi-N結合及びN-H結合を促進するために熱アニーリングするLPCVDを開示する。一方、本発明の一部の実施形態では、窒素を用いる非対称プラズマ衝突は、上層/底層で与えられ、これは、層の窒素を豊富にしないが、Si-N結合を解離し、層の密度を減少させ、それによって、トレンチの側壁に形成される層のウェットエッチング速度に対して、上面/底面に形成される層のウェットエッチング速度を増加させる。上記では、Si-N結合が解離されるとき、Siダングリングボンド及びNダングリングボンドが形成され、これは、水素によって最終的に終端され、N-H結合及びSi-H結合を形成する。Si-N結合を解離した結果、層の密度が減少し、ウェットエッチング速度が増加する。よって、一部の実施形態では、上層/底層の高密度化を避けるため(つまり、上層/底層のウェットエッチング速度の低下を避けるため)に、ステップ(i)及び(ii)間で熱アニーリング(例えば、900℃で)は行われない。更に、一部の実施形態では、イオンの入射エネルギーは、約200eV未満であり(プラズマポテンシャルは、約100から200Vである)、これは、米国特許出願公開第2003/0029839号公報に開示されるもの(0.5から20keV)よりも低い。熱ALDのプラズマ及びリモートプラズマ堆積も、非対称なイオン衝突を形成しない、つまり、膜特性の方向性を形成しないため、LPCVDにおける反応物質と同様に、熱原子層堆積(ALD)における反応物質及びリモートプラズマ堆積のプラズマは、図14に示されるような閾値点を形成しない。更に、入射イオンの低い電子温度及び低いイオン運動エネルギーを有する表面波プラズマ(surface wave plasma(SWP))のようなプラズマが用いられるとき、イオン衝突の効果は、非常に制限され、よって、膜の劣化が生じず、したがって、膜特性の方向性を形成することが困難である。更に、プラズマ衝突が、酸化ケイ素によって構成される膜に与えられるときであっても、酸化ケイ素膜の膜品質は、劣化せず、したがって、膜特性の方向性を形成することが困難である。
一部の実施形態では、プラズマは、2つの電極の一方にRFパワーを印加することによって励起される容量結合プラズマ(capacitively coupled plasma(CCP))である。更に、一部の実施形態では、誘導結合プラズマ(inductively coupled plasma(ICP))、電子サイクロトロン共鳴プラズマ(electron cyclotron resonance(ECR) plasma)、マイクロ波表面波プラズマ、ヘリコン波プラズマ等は、プラズマとして用いられることができ、プラズマと電極との間のdcバイアス電圧を増加するために必要に応じてバイアス電圧が電極に印加される。
一部の実施形態では、RFパワーは、誘電体膜の上部/底部及び誘電体膜の側壁部の化学物質耐性が実質的に等しくなる基準RFパワーよりも高く、ウェットエッチングは、誘電体膜の側壁部に対して選択的に誘電体膜の上部/底部を除去する。
一部の実施形態では、プラズマは、Ar、N及び/又はO又は水素又はヘリウムよりも原子数が多い他の原子のプラズマである。
一部の実施形態では、トレンチは、10から50nm(典型的には15から30nm)の幅(トレンチが幅と実質的に同じ長さを有するとき、穴/ビアと呼ばれ、その直径は、10から50nmである)、30から200nm(典型的には50から150nm)の深さ、及び3から20(典型的には3から10)のアスペクト比を有する。
一部の実施形態では、誘電体膜は、エッチングストッパー、low-kスペーサ又はギャップフィラーとして用いられうる。例えば、側壁部のみが残されるとき、側壁部は、スペーサ・ディファインド・ダブル・パターニング(spacer-defined double patterning(SDDP))のためのスペーサとして用いられうる、又は上部/底部のみが残されるとき、上部/底部は、側壁のみのソリッド・ステート・ドーピング(solid-state doping(SSD))のために用いられるマスクとして用いられうる。
一部の実施形態では、ステップ(i)は、(ia)その上面にトレンチを有する基板を電極間に配置するステップと、(ib)反応ガスとして窒素ガスを用いてプラズマエンハンスト原子層堆積(PEALD)により基板上に誘電体膜を堆積するステップと、を備え、プラズマは、PEALDの各サイクルにおいて、2つの電極の一方にRFパワーを印加することによって励起される容量結合プラズマ(capacitively coupled plasma(CCP))であり、RFパワーは、誘電体膜の上部/底部及び誘電体膜の側壁部の化学物質耐性が実質的に等しくなる基準RFパワーよりも高く、ステップ(ii)におけるウェットエッチングは、誘電体膜の側壁部に対して選択的に誘電体膜の上部/底部を除去する。上記では、膜特性の方向性を有する膜は、膜の堆積が完了した後ではなく、膜が堆積されているときに形成される。
図4は、本発明の別の実施形態に係る層構造を製造するステップを示すフローチャートである。ステップS21は、ステップ(ib)に対応し、ステップS22は、ステップ(ii)に対応する。ステップS21では、膜特性の方向性を有する誘電体膜は、閾値電圧よりも高い電圧でプラズマ衝突を用いることによってトレンチに堆積され、ステップS22では、膜の上部/底部は、膜の側壁部よりも圧倒的に除去され、実質的に側壁部のみが層構造に残される。
一部の実施形態では、ステップ(i)は、(ia)その上面にトレンチを有する基板を電極間に配置するステップと、(ic)反応ガスとして窒素ガスを用いてプラズマエンハンスト原子層堆積(PEALD)により基板上に誘電体膜を堆積するステップと、を備え、プラズマは、PEALDの各サイクルにおいて、2つの電極の一方にRFパワーを印加することによって励起される容量結合プラズマ(capacitively coupled plasma(CCP))であり、RFパワーは、誘電体膜の上部/底部と誘電体膜の側壁部との化学物質耐性が実質的に等しくなる基準RFパワーよりも低く、ステップ(ii)におけるウェットエッチングは、誘電体膜の上部/底部に対して選択的に誘電体膜の側壁部を除去する。上記では、膜特性の方向性を有する膜は、膜の堆積が完了した後ではなく、膜が堆積されているときに形成される。
図5は、本発明の更に別の実施形態に係る層構造を製造するステップを示すフローチャートである。ステップS31は、ステップ(ic)に対応し、ステップS32は、ステップ(ii)に対応する。ステップS31では、膜特性の方向性を有する誘電体膜は、閾値電圧よりも低い電圧でプラズマ衝突を用いることによってトレンチに堆積され、ステップS32では、膜の側壁部は、膜の上部/底部よりも圧倒的に除去され、実質的に膜の上部/底部のみが層構造に残される。
一部の実施形態では、誘電体膜は、SiN膜又はSiON膜又は他のSi-N結合を含む膜である。
一部の実施形態では、PEALD又は他の堆積方法は、前駆体としてアミノシラン、ハロゲン化シラン、モノシラン及びジシランからなる群から選択された1以上の化合物を用いる。アミノシラン及びハロゲン化シランは、SiCl、SiCl、SiI、ビスジエチルアミノシラン、ビスジメチルアミノシラン、ヘキサエチルアミノジシラン、テトラエチルアミノシラン、tart-ブチルアミノシラン、ビスtartブチルアミノシラン、トリメチルシリルジエチルアミン、トリメチルシリルジエチルアミン及びビスジメチルアミノジメチルシランを含むが、これらに限定されない。
一部の実施形態では、ステップ(i)は、(iA)その上面にトレンチを有する基板上に誘電体膜を堆積するステップと、(iB)2つの電極間に基板を配置するステップと、(iC)膜を堆積せずに、堆積された誘電体膜の表面を処理するために電極間にプラズマを励起するステップと、を備え、プラズマは、2つの電極の一方にRFパワーを印加することによって励起される容量結合プラズマ(capacitively coupled plasma(CCP))であり、RFパワーは、誘電体膜の上部/底部と誘電体膜の側壁部との化学物質耐性が実質的に等しくなる基準RFパワーよりも高く、ステップ(ii)におけるウェットエッチングは、誘電体膜の側壁部に対して選択的に誘電体膜の上部/底部を除去する。上記では、膜特性の方向性を有する膜は、膜の処理によって、膜の堆積が完了した後に形成される。上記では、ステップ(ii)は、周期的である必要がないポスト堆積トリートメントである。
図6は、本発明の異なる実施形態に係る層構造を製造するステップを示すフローチャートである。ステップS41は、ステップ(iA)に対応し、ステップS42は、ステップ(iB)及び(iC)に対応し、ステップS43は、ステップ(ii)に対応する。ステップS41では、誘電体膜は、トレンチに堆積され、誘電体膜は、膜特性の方向性を有する必要がないが、既に膜特性の方向性を保有することができる。ステップS42では、ポスト堆積トリートメントとしてのプラズマ衝突は、閾値電圧よりも高い電圧で膜に与えられ、膜の上部/底部のウェットエッチング速度は、膜の側壁部のウェットエッチング速度よりも高い。ステップS43では、膜の上部/底部は、ウェットエッチングによって、膜の側壁部よりも圧倒的に除去され、実質的に膜の側壁部のみが層構造に残される。ポスト堆積トリートメントの前に膜が既に堆積されているため、閾値電圧よりも低い電圧の使用は、効果的ではない。なぜなら、側壁部のウェットエッチング速度は、上述した図14に示されるように、膜にプラズマ衝突を与えることにより堆積される膜のウェットエッチング速度よりも高くならないためである。
一部の実施形態では、堆積される誘電体膜は、約10nm以下(典型的には約5nm以下)の厚さを有する。トリートメントされる膜が約10nmよりも厚い場合、プラズマ衝突は、膜の底部に到達しない、つまり、厚さ方向の膜全体のウェットエッチング速度を調整することが困難である。
ポスト堆積トリートメントされる誘電体膜は、プラズマエンハンスト原子層堆積(PEALD)、熱ALD、低圧化学気相成長(PCVD)、リモートプラズマ堆積、PECVD等を含む任意の適切な堆積方法によって基板に堆積されうる。ALDは、例えば、約70%超(又は80%又は90%超)の高いコンフォーマリティを提供することができるため、誘電体膜は、ALDによって堆積されることが好ましい。
一部の実施形態では、誘電体膜を堆積した後、かつステップ(ii)の前にアニーリングは行われない。
一部の実施形態では、ステップ(i)においてプラズマは、RFパワーを2つの電極のうちの1つへ印加することによって励起され、プラズマ密度は、誘電体膜の上部/底部及び誘電体膜の側壁部の化学物質耐性が実質的に等しい基準プラズマ密度よりも高く、ステップ(ii)においてウェットエッチングは、誘電体膜の側壁部に対して選択的に誘電体膜の上部/底部を除去する。図14に関して上述されたように、上面に形成された膜のウェットエッチング速度及びトレンチの側壁に形成された膜のウェットエッチング速度は、プラズマ密度を変化させることによって調整されることができ、プラズマ密度は、圧力及び/又はRFパワーを調整すること(圧力を低くする及び/又はパワーを高くすると、プラズマ密度が高くなる)、及び/又は低周波数(<1MHz)を有するRFパワーを印加することによって、主に調整されることができる。
一部の実施形態では、プラズマ密度は、反応空間内の圧力を調整することによって変更され、プラズマ密度は、圧力を低くすることによって増加する。その場合、前記方法は、更に、ステップ(i)及び(ii)の前に、基準プラズマ密度を決定するために以下のステップを繰り返すステップを備える:(a)圧力が変数として変更される点を除いてステップ(i)と同一の条件下で誘電体膜を同時に形成するステップ、及び(b)ステップ(ii)と同一の条件下ウェットエッチングすることによって誘電体膜の上部/底部及び側壁部の両方ではなくいずれか一方を実質的に除去するステップ。
一部の実施形態では、ステップ(i)において圧力は、300Pa、250Pa、200Pa、150Pa、100Pa、50Pa及び10Paを含む350Pa未満、並びに上記の値のいずれか2つの間の値に制御される。
一部の実施形態では、プラズマ密度は、RFパワーを構成する低周波数RFパワーに対する高周波数RFパワーの比を調整することによって変更され、プラズマ密度は、当該比を減少させることによって増加する。一部の実施形態では、高周波数RFパワーは、1MHz以上の周波数(例えば、10MHzから60MHz)を有し、低周波数RFパワーは、1MHz以下の周波数(例えば、200kHzから800kHz)を有する。上記では、前記方法は、更に、ステップ(i)及び(ii)の前に、基準プラズマ密度を決定するために以下のステップを繰り返すステップを備える:(a)前記比が変数として変更される点を除いてステップ(i)と同一の条件下で誘電体膜を同時に形成するステップ、及び(b)ステップ(ii)と同一の条件下ウェットエッチングすることによって誘電体膜の上部/底部及び側壁部の両方ではなくいずれか一方を実質的に除去するステップ。
一部の実施形態では、低周波数RFパワー(LRF)に対する高周波数RFパワー(HRF)の比は、0/100から95/5(例えば、10/90から90/10)である。一部の実施形態では、RFパワーは、低周波数RFパワーからなる。一部の実施形態では、総RFパワーは、300mmウェーハ用の100Wから600Wである(そのパワーは、面積当たりのワットとしてウェーハの任意のサイズ、つまり、0.14W/cmから0.85W/cmに適用可能である)。
一部の実施形態では、本開示で説明された変数のうちの1以上は、エッチング処理における選択的エッチングを制御するように誘電体膜を堆積するときに、プラズマ密度を操作するために用いられうる。
HRF/LRFの比が制御される上記の実施形態では、低圧力及び高RFパワーは、誘電体膜を堆積するときにプラズマ密度を操作するために変数として要求されず、それにより、処理条件があまり制限されない。更に、実施形態では、高RFパワーを印加することによって異常放電を回避することができる。
ステップ(ii)におけるウェットエッチングが、誘電体膜の上部/底部に対して選択的に誘電体膜の側壁部を除去する別の実施形態では、プラズマ密度は、誘電体膜の上部/底部及び誘電体膜の側壁部の化学物質耐性が実質的に等しい基準プラズマ密度よりも低く設定される。
一部の実施形態では、堆積サイクルは、PEALDによって行われてもよく、その1つのサイクルは、以下の表1に示される条件下で行われる。
Figure 0007233173000001
一部の実施形態では、ポスト堆積トリートメントは、以下の表2に示される条件下で行われてもよい。
Figure 0007233173000002
上記では、前駆体が反応チャンバに供給されないが、キャリアガスは、連続的に流れている。
一部の実施形態では、ウェットエッチングは、以下の表3に示される条件下で行われてもよい。
Figure 0007233173000003
ウェットエッチングのために、従来の装置を含む任意の適切な枚葉式又はバッチ式装置が用いられうる。また、従来の解決手段を含むウェットエッチングのための任意の適切な解決手段、例えば、リン酸、が用いられうる。
一部の実施形態では、ウェットエッチングに代えて、ドライエッチング又はプラズマエッチング等のような他の適切なエッチングが行われうる。当業者は、本開示を考慮して通常の実験として、温度、持続期間、エッチャント濃度等のようなエッチング条件を明示的に決定しうる。
一部の実施形態では、絶縁膜は、以下のようにトレンチの側壁のみに形成されうる。
1)トレンチパターンを有する基板にSiN膜を形成し、前駆体を供給することのパルス及びプラズマによって励起される窒素種を含む周囲大気に基板を露出することのパルスは繰り返され、膜の側壁部のウェットエッチング速度が、膜の上部/底部のウェットエッチング速度よりも低くなるような条件下で、基板に垂直な方向(イオンの入射角は基板に垂直である)に基板にプラズマ衝突を与えるように、プラズマは励起される。
2)ウェットエッチングによって膜の上部/底部を除去する。
上記のプロセスシーケンスでは、前駆体は、連続的に供給されるキャリアガスを用いてパルスで供給される。これは、フローパスシステム(flow-pass system(FPS))を用いて実現され、ここで、キャリアガスラインには、前駆体リザーバー(ボトル)を有する迂回ラインが設けられ、メインライン及び迂回ラインは、切り替えられ、ここで、キャリアガスのみが反応チャンバに供給されることが意図されるとき、迂回ラインは閉じられ、キャリアガス及び前駆体ガスの両方が反応チャンバに供給されることが意図されるとき、メインラインは閉じられ、キャリアガスは、迂回ラインを通じて流れ、前駆体ガスと共にボトルから流出する。このようにして、キャリアガスは、反応チャンバに連続的に流れることができ、メインラインと迂回ラインとを切り替えることによってパルスで前駆体ガスを運ぶことができる。図1Bは、本発明の実施形態に係るフローパスシステム(FPS)を用いる前駆体供給システムを示す(黒のバルブは、バルブが閉じていることを示す)。図1Bの(a)に示されるように、反応チャンバ(図示せず)に前駆体を供給するとき、初めに、Ar(又はHe)のようなキャリアガスは、バルブb及びcを有するガスラインを通じて流れ、その後、ボトル(リザーバー)30に入る。キャリアガスは、ボトル30内の蒸気圧に対応する量の前駆体ガスを運びつつ、ボトル30から流出し、バルブf及びeを有するガスラインを通じて流れ、その後、前駆体と共に反応チャンバに供給される。上記では、バルブa及びdは閉じられる。キャリアガス(希ガス)のみを反応チャンバに供給するとき、図1Bの(b)に示されるように、キャリアガスは、ボトル30をバイパスしている間にバルブを有するガスラインを通じて流れる。上記では、バルブb、c、d、e及びfは閉じられる。
前駆体は、キャリアガスの補助によって提供されてもよい。ALDが自己制限吸着反応プロセスであるため、堆積された前駆体分子の数は、反応表面サイトの数によって決定され、飽和後の前駆体露出とは独立であり、前駆体の供給は、反応表面サイトが、それによってサイクルごとに飽和されるようになされる。堆積のためのプラズマは、in situで生成されてもよく、例えば、堆積サイクルを通じて連続的に流れるアンモニアガスで生成されてもよい。他の実施形態では、プラズマは、リモートで生成され、反応チャンバに供給されてもよい。
上述されたように、各堆積サイクルの各パルス又は段階は、自己制限型であることが好ましい。過剰な反応物質は、影響を受けやすい構造表面を飽和するために各段階において供給される。表面飽和は、全ての利用可能な反応サイトの反応物質占有(例えば、物理的な大きさ又は「立体障害」抑制に対する対象)を確実にし、よって、優れたステップカバレッジを確実にする。一部の実施形態では、反応物質の1以上のパルス時間は、完全な飽和が実現されず、モノレイヤ未満が基板表面に吸着されるように、低減されうる。
プロセスサイクルは、例えば、図1Aに示される装置を含む適切な装置を用いて行われうる。図1Aは、本発明の一部の実施形態で使用可能な、以下に示されるシーケンスを行うようにプログラムされた制御装置と連動することが望ましいPEALD装置の概略図である。この図では、反応チャンバ3の内部11(反応ゾーン)で互いに平行かつ対向している一対の導電性平板電極4,2を設け、HRFパワー(13.56MHz又は27MHz)20を一方に印加し、他方を電気的にグランド12にすることによって、電極間にプラズマを励起する。温度レギュレータが下部ステージ2(下部電極)に設けられ、その上に配置される基板1の温度は、所定の温度で一定に維持される。上部電極4は、同様に、シャワープレートとして機能し、反応ガス(及び希ガス)及び前駆体ガスは、それぞれガスライン21及びガスライン22並びにシャワープレート4を通じて、反応チャンバ3へ導入される。また、反応チャンバ3には、排気ライン7を有する円形ダクト13が設けられ、円形ダクト13を通じて、反応チャンバ3の内部11におけるガスを排気する。また、希釈ガスは、ガスライン23を通じて反応チャンバ3へ導入される。更に、反応チャンバ3の下に配置される搬送チャンバ5には、搬送チャンバ5の内部16(搬送ゾーン)を介して反応チャンバ3の内部11にシールガスを導入するためにシールガスライン24が設けられ、反応ゾーンと搬送ゾーンとを隔てるためのセパレーションプレート14が設けられる(それを通じてウェーハが搬送チャンバ5へ搬送される又は搬送チャンバ5から搬送されるゲートバルブは、この図から省略されている)。搬送チャンバには、排気ライン6も設けられる。一部の実施形態では、多元素膜の堆積及び表面処理は、同一の反応空間で行われ、全てのステップは、大気又は他の酸素を含む雰囲気へ基板を露出することなく、連続的に行われうる。一部の実施形態では、リモートプラズマユニットは、ガスを励起するために用いられうる。
一部の実施形態では、図1Aに示される装置では、(前述された)図1Bに示される不活性ガスの流れと前駆体ガスの流れとを切り替えるシステムは、反応チャンバの圧力を実質的に変動せずに、パルスで前駆体ガスを導入するために用いられうる。
一部の実施形態では、デュアルチャンバリアクタ(互いに近くに配置されるウェーハを処理するための2つのセクション又はコンパートメント)を用いることができ、反応ガス及び希ガスは、共有ラインを通じて供給される一方で、前駆体ガスは、共有されないラインを通じて供給される。
前記装置は、本明細書の他の箇所に記載される堆積及びリアクタ洗浄処理を行わせるようにプログラムされる又は構成される1以上のコントローラ(図示せず)を含むことを当業者は理解するであろう。コントローラは、当業者によって理解されるように、各種の電源、加熱システム、ポンプ、ロボティクス及びリアクタのガスフローコントローラ又はバルブと接続される。
本発明は、以下の実施例を参照して更に説明される。しかし、実施例は、本発明を限定するものではない。条件及び/又は構造が特定されていない実施例では、当業者は、通常の実験として、本開示を考慮して、このような条件及び/又は構造を明示的に提供することができる。また、特定の実施例に適用される数値は、一部の実施形態では、少なくとも±50%の範囲で変更されることができ、数値はおおよそである。
一部の実施形態では、絶縁膜は、以下のようにトレンチの側壁にのみ形成されうる。
1)トレンチパターンを有する基板に亘ってSiN膜を形成する(膜は、膜特性の方向性を有してもよく、有さなくてもよい)。
2)膜の側壁部のウェットエッチング速度が、膜の上部/底部のウェットエッチング速度よりも低いような条件下で、基板と垂直な方向に、基板にプラズマ衝突を与えるように、励起されたプラズマで膜を処理する(イオンの入射角は、基板に垂直である)。
3)ウェットエッチングによって膜の上部/底部を除去する。
実施例1
PEALDによってトレンチを有するSi基板(Φ300mm)にSiN膜を形成し、その1つのサイクルは、図1Aに示されるPEALD装置及び図1Bに示されるガス供給システム(FPS)を用いて表4(堆積サイクル)に示される条件下で行われた。
反応チャンバから基板を取り出した後、以下の表4に示される条件下で基板をウェットエッチングした。
Figure 0007233173000004
その結果は、図7に示される。図7は、RFパワーと、上面に形成された膜のウェットエッチング速度並びにトレンチの側壁に形成された膜のウェットエッチング速度との関係を示すグラフであり、閾値(基準)RFパワーを示す。図7に示すように、側壁部のウェットエッチング速度は、RFパワーが増加すると減少したが、上部/底部のウェットエッチング速度は、RFパワーが増加すると増加した。ここで、前者を示す線と後者と示す線は、約600WのRFパワーにおいて交差している。すなわち、閾値RFパワーは、約600Wであり、電極間に印加されるRFパワーが約600Wよりも高いとき、膜の上部/底部は、膜の側壁部に対して選択的に除去されうるが、電極間に印加されるRFパワーが約600Wよりも低いとき、膜の側壁部は、膜の上部/底部に対して選択的に除去されうることを理解することができる。
更に、ウェットエッチング前に、膜の上部が追加の分析:Si-Nピーク強度及び密度を受けた。図12は、RFパワーと、SiN膜のSi-Nピーク強度(au)との関係を示すグラフである。図13は、RFパワーと、SiN膜の密度(g/cm)との関係を示すグラフである。図12及び13から見られるように、一般的な技術知識に反して(つまり、RFパワーが増加するときに膜の高密度化が生じる)、SiN膜への非対称なプラズマ衝突は、RFパワーが増加するときにSi-N結合を破壊し、Si-N結合の解離の結果、膜の密度が減少した(密度は、典型的には2.6から3.2g/cmの範囲にあり、ここで、ウェットエッチングによって除去される膜部分の密度は、ウェットエッチングを通じて残る膜部分の密度よりも低い)。
実施例2
表5に示される条件下でSiN膜を堆積した。ここで、閾値RFパワーは、実施例1と同様の手法で約400Wに決定された。その後、SiN膜は、表5に示される条件下でウェットエッチングされた。図8は、窒化ケイ素膜の断面の走査電子顕微鏡(Scanning Transmission Electron Microscope(STEM))写真を示す。図8から見られるように、RFパワーが700Wのとき、膜の上部/底部は、ウェットエッチングによって選択的に除去され、上面及びトレンチの底部には実質的に膜が残っていなかった(残存する膜が観察されなかった)。RFパワーが500Wのとき、膜の上部/底部は、ウェットエッチングによって膜の側壁部よりも圧倒的に除去されるが、残りの膜は、上面及びトレンチの底部に残存し、膜の側壁部は、ほとんどが残存していた。RFパワーが300Wのとき、膜の側壁部は、ウェットエッチングによって膜の上部/底部よりも圧倒的に除去され、側壁の一部の領域にも残りの膜が残存しなかったが、膜の上部/底部はほとんどが残存していた。
Figure 0007233173000005
実施例3
RFパワーを880Wとした以外は実施例1と同様にSiN膜を堆積した。その後、SiN膜は、実施例1と同一の条件下でウェットエッチングされた。図9は、ウェットエッチング後のSiN膜の断面の走査電子顕微鏡(STEM)写真を示す。図9から見られるように、上面及びトレンチの底部には実質的に膜が残存していなかった(膜の残存が観察されなかった)。
実施例4(仮想例)
RFパワーを600Wとした以外は実施例1と同様にPEALDによりトレンチを有するSi基板(Φ300mm)にSiN膜が堆積される。その後、同一のリアクタ内で、膜は、以下の表6に示される条件下でプラズマによって処理され、ここで、RFパワーは、閾値RFパワーよりも高い800Wであり、それにより、基板の上面及びトレンチの底面に損傷を生じさせ、膜品質を低下させた。反応チャンバから基板を取り出した後、基板は、以下の表6に示される条件下でウェットエッチングされる。
Figure 0007233173000006
図10は、窒化ケイ素膜の断面を示す。基板51に形成されたトレンチの側壁51cに形成された膜の部分52が、プラズマ衝突を実質的に受けないため、部分52は、膜特性を維持し、ウェットエッチング後に残存する。一方、上面51bに形成された膜の部分及び底面51aに形成された膜の部分がプラズマ衝突を受けるため、当該部分は、膜特性が低下し、ウェットエッチング後に除去される。
実施例5(仮想例)
PEALDによってトレンチを有するSi基板(Φ300mm)にSiN膜を形成し、その1つのサイクルは、図1Aに示されるPEALD装置及び図1Bに示されるガス供給システム(FPS)を用いて表7(堆積サイクル)に示される条件下で行われる。
反応チャンバから基板を取り出した後、以下の表7に示される条件下で基板をウェットエッチングする。
Figure 0007233173000007
図11は、窒化ケイ素膜の断面を示す。RFパワーが、閾値RFパワー(600Wであることが期待される)よりも低い100Wであるため、膜の側壁部は、ウェットエッチングによって膜の上部53b及び膜の底部53aに対して選択的に除去され、上部/底部53a,53bのみがウェットエッチング後に残った。この膜は、キャップ層として用いられうる。
実施例6
表8に示される条件下でSiN膜を堆積した。ここで、閾値圧力は、実施例1と実質的に同様に約300Paに決定された。その後、SiN膜は、表8に示される条件下でウェットエッチングされた。図15は、窒化ケイ素膜の断面視の走査透過電子顕微鏡(STEM)を示す。図15から見られるように、圧力が150Paのとき、膜の上部/底部は、ウェットエッチングによって選択的に除去され、上面及びトレンチの底部には実質的に膜が残存していなかった(膜の残存が観察されなかった)。圧力が250Paのとき、膜の上部/底部は、ウェットエッチングによって膜の側壁部よりも圧倒的に除去されたが、上面上及びトレンチの底部には膜が残存しており、一方で、膜の側壁部は、ほとんどが残存していた。圧力が350Paのとき、膜の側壁部は、ウェットエッチングによって膜の上部/底部よりも圧倒的に除去され、側壁の一部の領域において残存膜がなかった一方で、膜の上部/底部はほとんどが残存していた。
Figure 0007233173000008
実施例7
表9に示される条件下でSiN膜を堆積した。ここで、閾値RFパワー(HRFのみ)は、実施例1と実質的に同様に約550Wに決定された。その後、SiN膜は、表9に示される条件下でウェットエッチングされた。図16は、窒化ケイ素膜の断面視の走査透過電子顕微鏡(STEM)を示す。図16から見られるように、HRFパワー(13.56MHz)が880Wであり、LRFパワーがないとき、膜の上部/底部は、ウェットエッチングによって選択的に除去され、上面及びトレンチの底部には実質的に膜が残存していなかった(膜の残存が観察されなかった)。HRFパワーが550Wであり、LRFパワーがないとき、膜の上部/底部及び膜の側壁部は、ほぼ等しくエッチングされ、ほとんどが残存していた。HRFパワーが550Wであり、そこに50WのLRFパワー(400kHz)が追加されたとき、膜の上部/底部は、ウェットエッチングによって膜の側壁部よりも圧倒的に除去され、上部/底部の一部の領域では残存膜がなかった一方で、膜の側壁部はほとんどが残存していた。
Figure 0007233173000009
実施例8
表10に示される条件下でSiN膜を堆積した。ここで、閾値RFパワー(HRFのみ)は、実施例1と実質的に同様に約400Wに決定された。その後、SiN膜は、表10に示される条件下でウェットエッチングされた。図17は、窒化ケイ素膜の断面視の走査透過電子顕微鏡(STEM)を示す。図17から見られるように、HRFパワー(13.56MHz)が200‐250Wであり、LRFパワーがないとき、膜の側壁部は、ウェットエッチングによって選択的に除去され、トレンチの側壁には実質的に膜が残存していなかった(膜の残存が観察されなかった)。LRFパワー(430kHz)が300Wであり、HRFパワーがないとき、膜の上部/底部は、ウェットエッチングによって選択的に除去され、上面及びトレンチの底部には実質的に膜が残存していない(膜の残存が観察されなかった)一方で、膜の側壁部はほとんどが残存していた。
Figure 0007233173000010
実施例9
図17に示されるように、HRF/LRFの比を操作することによって、逆トポロジカル選択性(reverse topological selectivity (RTS))が効果的に実現されうる。膜の上部/底部が、LRFパワーが用いられるときにウェットエッチングによって選択的に除去されたことの理由は、得られた膜に含まれる水素等のような不純物の量に存在するように見える。これは、LRFパワー処理がHRFパワー処理よりも多くの水素ラジカルを生成し、水素原子を膜へ提供し、ウェットエッチ速度を増加させたように見える。以下の表11は、実施例8と同様に、ブランケット(フラット)ウェーハ上に堆積されたSiN膜の水素含有量を示す。表11に示されるように、LRFパワー処理によって形成されるSiN膜は、HRFパワー処理によって形成されるSiN膜よりも多くの水素原子を含んでおり、HRFパワー処理によるSiN膜のWERよりもLRFパワー処理によるSiN膜の高いWERをもたらす。
Figure 0007233173000011
実施例10(仮想例)
実施例2(図8)に示されるように、RFパワー(HRF)を操作することによって、逆トポロジカル選択性(reverse topological selectivity (RTS))は、効果的に実現されうる。また、図17に示されるように、HRF/LRFを操作することによって、逆トポロジカル選択性(reverse topological selectivity(RTS))は、効果的に実現されうる。堆積ステップに続くウェットエッチングステップにおいて、エッチング溶液(エッチャント溶液)として、フッ化水素(HF)だけでなくリン酸(HPO)又は他の適切な溶液もRTSを実現するために用いられうる。しかし、エッチング溶液の種類は、RTSの度合いに影響を与えうる。例えば、表12は、上面及びトレンチの側壁でのエッチング速度が、エッチャント溶液の種類に依存することを示し、ここで、堆積された誘電体膜は、実施例2又は実施例8のものと同様に形成される。
Figure 0007233173000012
多数かつ様々な変更が本発明の趣旨から逸脱しない範囲でなされることが当業者によって理解されるであろう。よって、本発明の形態は、例示的なものであり、本発明の範囲を制限することを意図するものではないことが明確に理解されるべきである。

Claims (15)

  1. 基板の上面に形成されるトレンチにSi-N結合を含む誘電体膜によって構成される層構造を製造する方法であって、
    (i)前記上面並びに前記トレンチの底面及び側壁上にSi-N結合を含む誘電体膜を同時に形成するステップであって、前記上面及び前記底面上に形成される前記誘電体膜の上部/底部と、前記側壁上に形成される前記誘電体膜の側壁部とは、反応空間において、2つの電極間に電圧を印加することによって励起されるプラズマの衝突によって異なる化学物質耐性を付与され、前記基板は、前記2つの電極間に前記2つの電極と平行に置かれる、ステップと、
    (ii)前記異なる化学物質耐性に従って前記誘電体膜の前記上部/底部及び前記側壁部の一方を他方よりも除去するエッチングによって、前記誘電体膜の前記上部/底部及び前記側壁部の両方ではなくいずれか一方を実質的に除去するステップと、を備え、
    前記ステップ(i)においてプラズマは、前記2つの電極の一方にRFパワーを印加することによって励起される容量結合プラズマ(CCP)であり、プラズマ密度は、前記誘電体膜の前記上部/底部と前記誘電体膜の前記側壁部との化学物質耐性が実質的に等しい基準プラズマ密度よりも高く、前記ステップ(ii)におけるエッチングは、前記誘電体膜の前記側壁部に対して選択的に前記誘電体膜の前記上部/底部を除去し、
    前記トレンチは、10nmから50nmの幅と、30nmから200nmの深さと、3から20のアスペクト比を有し、
    前記2つの電極間の距離は、5mmから30mmであり、
    前記ステップ(i)において、前記誘電体膜は、プラズマエンハンスト原子層堆積(PEALD)によって形成される、方法。
  2. 前記プラズマは、Ar、N又はOのプラズマである、請求項1に記載の方法。
  3. 前記誘電体膜は、SiN膜である、請求項1に記載の方法。
  4. 前記ステップ(i)において、前駆体としてハロゲン化シランが用いられる、請求項に記載の方法。
  5. 前記エッチングはウェットエッチングであり、フッ化水素(HF)又はリン酸の溶液を用いて行われる、請求項1に記載の方法。
  6. プラズマ密度は前記反応空間における圧力を調整することによって変更され、前記プラズマ密度は、前記圧力を下げることによって増加する、請求項1に記載の方法。
  7. 前記ステップ(i)及び(ii)の前に、前記基準プラズマ密度を決定するために、
    前記圧力が変数として変更されることを除いて前記ステップ(i)と同一条件下で誘電体膜を同時に形成することと、
    前記ステップ(ii)と同一条件下でエッチングすることによって前記誘電体膜の前記上部/底部及び前記側壁部の両方ではなくいずれか一方を実質的に除去することと、
    を繰り返すステップを更に備える、請求項に記載の方法。
  8. 前記ステップ(i)における圧力は、300Pa未満に制御される、請求項7に記載の方法。
  9. プラズマ密度は、RFパワーを構成する低周波数RFパワーに対する高周波数RFパワーの比を調整することによって変調され、前記プラズマ密度は前記比を減少させることによって増加する、請求項1に記載の方法。
  10. 前記高周波数RFパワーは、1MHz以上の周波数を有し、前記低周波数RFパワーは、1MHz未満の周波数を有する、請求項に記載の方法。
  11. 前記ステップ(i)及び(ii)の前に、前記基準プラズマ密度を決定するために、
    前記比が変数として変更されることを除いて前記ステップ(i)と同一条件下で誘電体膜を同時に形成することと、
    前記ステップ(ii)と同一条件下でエッチングすることによって前記誘電体膜の前記上部/底部及び前記側壁部の両方ではなく一方を実質的に除去することと、
    を繰り返すステップを更に備える、請求項に記載の方法。
  12. 前記低周波数RFパワーに対する前記高周波数RFパワーの比は、0/100から95/5である、請求項に記載の方法。
  13. 前記RFパワーは、低周波数RFパワーからなる、請求項12に記載の方法。
  14. 前記ステップ(i)と前記ステップ(ii)との間にはアニーリングは行われない、請求項1に記載の方法。
  15. 基板の上面に形成されるトレンチにSi-N結合を含む誘電体膜によって構成される層構造を製造する方法であって、
    (i)前記上面並びに前記トレンチの底面及び側壁上にSi-N結合を含む誘電体膜を同時に形成するステップであって、前記上面及び前記底面上に形成される前記誘電体膜の上部/底部と、前記側壁上に形成される前記誘電体膜の側壁部とは、反応空間において、2つの電極間に電圧を印加することによって励起されるプラズマの衝突によって、異なる化学物質耐性を付与され、前記基板は、前記2つの電極間に前記2つの電極と平行に置かれる、ステップと、
    (ii)前記異なる化学物質耐性に従って前記誘電体膜の前記上部/底部及び前記側壁部の一方を他方よりも除去するエッチングによって、前記誘電体膜の前記上部/底部及び前記側壁部の両方ではなく一方を実質的に除去するステップと、を備え、
    前記ステップ(i)においてプラズマは、前記2つの電極の一方にRFパワーを印加することによって励起される容量結合プラズマ(CCP)であり、プラズマ密度は、前記誘電体膜の前記上部/底部と前記誘電体膜の前記側壁部との化学物質耐性が実質的に等しい基準プラズマ密度よりも低く、前記ステップ(ii)におけるウェットエッチングは、前記誘電体膜の前記上部/底部に対して選択的に前記誘電体膜の前記側壁部を除去し、
    前記トレンチは、10nmから50nmの幅と、30nmから200nmの深さと、3から20のアスペクト比を有し、
    前記2つの電極間の距離は、5mmから30mmであり、
    前記ステップ(i)において、前記誘電体膜は、プラズマエンハンスト原子層堆積(PEALD)によって形成される、方法。
JP2018091418A 2017-05-11 2018-05-10 トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法 Active JP7233173B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/592,730 US10529554B2 (en) 2016-02-19 2017-05-11 Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US15/592,730 2017-05-11

Publications (2)

Publication Number Publication Date
JP2018190986A JP2018190986A (ja) 2018-11-29
JP7233173B2 true JP7233173B2 (ja) 2023-03-06

Family

ID=64333569

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018091418A Active JP7233173B2 (ja) 2017-05-11 2018-05-10 トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法

Country Status (4)

Country Link
JP (1) JP7233173B2 (ja)
KR (1) KR20180124788A (ja)
CN (1) CN108878258A (ja)
TW (1) TWI766014B (ja)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW202146689A (zh) * 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001237308A (ja) 2000-02-22 2001-08-31 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
JP2011003838A (ja) 2009-06-22 2011-01-06 Elpida Memory Inc 半導体装置の製造方法
WO2011125733A1 (ja) 2010-04-02 2011-10-13 株式会社アルバック 成膜装置
US20140349033A1 (en) 2013-05-23 2014-11-27 Asm Ip Holding B.V. Method For Forming Film By Plasma-Assisted Deposition Using Two-Frequency Combined Pulsed RF Power
JP2015144268A (ja) 2013-12-30 2015-08-06 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP2016034042A (ja) 2011-01-14 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2017079327A (ja) 2015-08-24 2017-04-27 エーエスエム アイピー ホールディング ビー.ブイ. SiN薄膜の形成
JP2017078223A (ja) 2015-10-15 2017-04-27 エーエスエム アイピー ホールディング ビー.ブイ. Pealdによりトレンチに誘電体膜を堆積する方法

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4566373B2 (ja) * 2000-09-21 2010-10-20 東京エレクトロン株式会社 酸化膜エッチング方法
US7758764B2 (en) * 2007-06-28 2010-07-20 Lam Research Corporation Methods and apparatus for substrate processing
US9076646B2 (en) * 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
KR101121858B1 (ko) * 2010-04-27 2012-03-21 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US20160260602A1 (en) * 2013-11-04 2016-09-08 Applied Materials, Inc. Adhesion improvements for oxide-silicon stack
JP2016009720A (ja) * 2014-06-23 2016-01-18 東京エレクトロン株式会社 推定方法及びプラズマ処理装置
US9214333B1 (en) * 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US9633867B2 (en) * 2015-01-05 2017-04-25 Lam Research Corporation Method and apparatus for anisotropic tungsten etching

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001237308A (ja) 2000-02-22 2001-08-31 Sanyo Electric Co Ltd 半導体装置の製造方法
JP2008047620A (ja) 2006-08-11 2008-02-28 Mitsubishi Heavy Ind Ltd プラズマ処理方法、及び、プラズマ処理装置
JP2011003838A (ja) 2009-06-22 2011-01-06 Elpida Memory Inc 半導体装置の製造方法
WO2011125733A1 (ja) 2010-04-02 2011-10-13 株式会社アルバック 成膜装置
JP2016034042A (ja) 2011-01-14 2016-03-10 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US20140349033A1 (en) 2013-05-23 2014-11-27 Asm Ip Holding B.V. Method For Forming Film By Plasma-Assisted Deposition Using Two-Frequency Combined Pulsed RF Power
JP2015144268A (ja) 2013-12-30 2015-08-06 ラム リサーチ コーポレーションLam Research Corporation パルスプラズマ暴露を伴うプラズマ原子層堆積
JP2017079327A (ja) 2015-08-24 2017-04-27 エーエスエム アイピー ホールディング ビー.ブイ. SiN薄膜の形成
JP2017078223A (ja) 2015-10-15 2017-04-27 エーエスエム アイピー ホールディング ビー.ブイ. Pealdによりトレンチに誘電体膜を堆積する方法

Also Published As

Publication number Publication date
TW201900922A (zh) 2019-01-01
CN108878258A (zh) 2018-11-23
JP2018190986A (ja) 2018-11-29
TWI766014B (zh) 2022-06-01
KR20180124788A (ko) 2018-11-21

Similar Documents

Publication Publication Date Title
US11676812B2 (en) Method for forming silicon nitride film selectively on top/bottom portions
JP6920068B2 (ja) トレンチの側壁又は平坦面に選択的に窒化ケイ素膜を形成する方法
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9627221B1 (en) Continuous process incorporating atomic layer etching
JP7162456B2 (ja) 負バイアスを用いてpealdによって膜を堆積する方法
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US10465294B2 (en) Oxide and metal removal
US9659791B2 (en) Metal removal with reduced surface roughness
KR102456460B1 (ko) 3d nand 메모리 디바이스들에서의 개선된 수직 에칭 성능을 위한 막들의 플라즈마 강화 화학 기상 증착
US9881805B2 (en) Silicon selective removal
US9564338B1 (en) Silicon-selective removal
TWI662617B (zh) 無鹵素之氣相矽蝕刻
US9165786B1 (en) Integrated oxide and nitride recess for better channel contact in 3D architectures
US9287134B2 (en) Titanium oxide etch
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
KR20170044602A (ko) Peald에 의하여 트렌치들 내에 유전체 막을 퇴적하는 방법
US20150345029A1 (en) Metal removal
US20140273451A1 (en) Tungsten deposition sequence
TW201443992A (zh) 使用遠端電漿源之加強式蝕刻製程
TW201419401A (zh) 使用多個流體途徑的自由基化學調製及控制
JP7233173B2 (ja) トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210302

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20220228

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220308

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220517

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20220809

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221209

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20221209

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20221220

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20230110

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230214

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230221

R150 Certificate of patent or registration of utility model

Ref document number: 7233173

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150