JP2017079327A - SiN薄膜の形成 - Google Patents
SiN薄膜の形成 Download PDFInfo
- Publication number
- JP2017079327A JP2017079327A JP2016160611A JP2016160611A JP2017079327A JP 2017079327 A JP2017079327 A JP 2017079327A JP 2016160611 A JP2016160611 A JP 2016160611A JP 2016160611 A JP2016160611 A JP 2016160611A JP 2017079327 A JP2017079327 A JP 2017079327A
- Authority
- JP
- Japan
- Prior art keywords
- torr
- silicon nitride
- plasma
- silicon
- substrate
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/04—Coating on selected surface areas, e.g. using masks
- C23C16/045—Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
- C23C16/345—Silicon nitride
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45553—Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02112—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
- H01L21/02123—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
- H01L21/0217—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02211—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02109—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
- H01L21/02205—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
- H01L21/02208—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
- H01L21/02219—Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/02274—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02107—Forming insulating materials on a substrate
- H01L21/02225—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
- H01L21/0226—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
- H01L21/02263—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
- H01L21/02271—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
- H01L21/0228—Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
Landscapes
- Engineering & Computer Science (AREA)
- Chemical & Material Sciences (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Organic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Electromagnetism (AREA)
- Inorganic Chemistry (AREA)
- Formation Of Insulating Films (AREA)
- Chemical Vapour Deposition (AREA)
- Spectroscopy & Molecular Physics (AREA)
- Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)
Abstract
【解決手段】本方法は、複数のプラズマエンハンスト原子層堆積(PEALD)サイクルを含むことができ、少なくとも1つのPEALD堆積サイクルは、反応空間内の20Torrから500Torrの処理圧力で基板を窒素プラズマと接触するステップを含む。一実施形態では、窒素前駆体は、例えばH2SiI2のようなハロゲン化シリルである。一実施形態では、処理は、三次元構造上に改善された特性を有する窒化ケイ素膜の堆積を可能にする。例えば、このような窒化ケイ素膜は、希釈HFにおいて約1:1の上面と側壁とのウェットエッチング速度の比を有しうる。
【選択図】図2A
Description
図2Aは、一部の実施形態に係る窒化ケイ素薄膜を堆積するために用いられうる上昇処理圧力下で行われる窒化ケイ素PEALD堆積サイクル200を概略的に示すフローチャートである。特定の実施形態によれば、窒化ケイ素薄膜は、複数の窒化ケイ素堆積サイクル200を含む高圧力PEALD型処理によって基板上に形成される。
(1)シリコン種が基板の表面に吸着するように、上昇処理圧力202下で基板の表面を、気化されたケイ素前駆体と接触することと、
(2)上昇処理圧力204下で、吸着されたケイ素種を窒素含有反応物質と接触し、それにより、吸着されたケイ素種を窒化ケイ素に変えることと、を含む。
(1)シリコン種が基板の表面に吸着するように、基板の表面を、蒸発されたケイ素前駆体と接触すること222と、
(2)吸着されたケイ素種を窒素含有反応物質と接触し、それにより、吸着されたケイ素化合物を窒化ケイ素に変えること204と、
を含むPEALD処理を含む。
本明細書に記載されるように、一部の実施形態では、SiN薄膜を形成する処理は、上昇処理圧力レジームで行われるPEALD処理でありうる。高圧力PEALD処理のための処理圧力は、約20Torr、約30Torr又は約50Torr超を含む、約6Torr超でありうる。一部の実施形態では、高圧力PEALD処理のための処理圧力は、約30Torrから約500Torr、約20Torrから約100Torr、約30Torrから約100Torr、約20Torrから約500Torr、又は、約30Torrから約50Torrを含む、約20Torrから約500Torrでありうる。一部の実施形態では、SiN薄膜を形成する処理は、1以上の高圧力トリートメントサブサイクルと組み合わせて、SiNを堆積するための低い処理圧力で行われるPEALD処理を含む1以上の窒化ケイ素堆積サブサイクルを含みうる複数のスーパーサイクルを含みうる。例えば、1以上の窒化ケイ素堆積サブサイクルのためのPEALD処理は、約0.01Torrから約5Torr、好ましくは約0.1Torrから約3Torrの処理圧力を含み、1以上の高圧力トリートメントサブサイクルは、約30Torr又は約50Torr超を含む約20Torrよりも多い処理圧力を含みうる。
(1)三次元構造を含む基板を反応空間に提供すること、
(2)ケイ素含有種が、三次元構造の表面を含む基板の表面に吸着されるように、基板を、SiI2H2のようなケイ素含有前駆体と接触すること、
(3)余剰なケイ素含有前駆体及び反応副生成物を反応空間から除去すること、
(4)吸着されたケイ素種を、窒素含有種と接触すること、ここで、窒素含有種は、N2、NH3、N2H4又はN2及びH2のような気相反応物質を用いて窒素含有プラズマを生成することにより形成され、
(5)余剰な窒素原子、プラズマ又はラジカル及び反応副生成物を除去すること。
本明細書に記載されるように、一部の実施形態によれば、SiN薄膜を形成する処理は、従来の圧力で行われる1以上のSiN堆積サイクルと、1以上の高圧トリートメントサブサイクルと、を含みうる。本明細書で用いられるように、高圧力トリートメントサブサイクルは、サブサイクルの少なくとも一部に対して、少なくとも約7Torr、少なくとも約20Torr、約30Torr、約40Torr又は約50Torrを含む、少なくとも6Torrの処理圧力を含むトリートメントサブサイクルをいう。一部の実施形態では、高圧力トリートメントサブサイクルは、少なくとも約20Torrの処理圧力で行われるプラズマステップを含む。例えば、プラズマステップ中に基板がさらされる反応チャンバ内の圧力は、プラズマステップの少なくとも一部に対して少なくとも約30Torr、約40Torr又は約50Torrを含む少なくとも約20Torrであってもよい。一部の実施形態では、プラズマステップ中に基板がさらされる反応チャンバ内の圧力は、約50Torrまで、約100Torrまで、又は約500Torrまでであってもよい。例えば、反応チャンバ内の圧力は、プラズマステップ全体又は実質的にプラズマステップ全体に対して、約6Torrから約50Torr、約20Torrから約50Torr、約6Torrから約500Torr、又は約20Torrから約500Torrでありうる。一部の実施形態では、高圧力トリートメントサブサイクルにおけるプラズマステップの処理圧力は、約30Torrから約500Torr、約40Torrから約500Torr、約50Torrから約500Torr、約6Torrから約100Torr、約20Torrから約100Torr、約30Torrから約100Torr、約20Torrから約50Torr、又は約20Torrから約30Torrでありうる。
一部の実施形態では、SiN薄膜を堆積するSi前駆体は、ハロゲン化シリルを含む。一部の実施形態では、Si前駆体は、ヨウ素を含む。特定の実施形態では、Si前駆体は、H2SiI2である。
上記で説明されたように、本開示に係る窒化ケイ素を堆積するための第2の反応物質は、窒素前駆体を含んでもよく、これは、反応種を含んでもよい。PEALD処理の適切なプラズマ組成は、窒素プラズマ、窒素のラジカル、又は1つの形態又は別の形態の原子窒素を含む。一部の実施形態では、水素プラズマ、水素のラジカル、又は1つの形態又は別の形態の原子水素も提供される。そして、一部の実施形態では、プラズマは、He、Ne、Ar、Kr及びXeのような希ガス、好ましくは、ラジカルのようなプラズマ形態又は原子形態での、Ar又はHeも含んでもよい。一部の実施形態では、第2の反応物質は、Arのような希ガスからの種を含まない。よって、一部の実施形態では、プラズマは、希ガスを含むガスでは生成されない。
本明細書で説明される実施形態の一部に係る堆積された窒化ケイ素薄膜は、約3at−%未満、好ましくは約1at−%未満、より好ましくは約0.5at−%未満、最も好ましくは約0.1at−%未満の不純物レベル又は濃度を実現してもよい。一部の薄膜では、水素を除く総不純物レベルは、約5at−%未満、好ましくは約2at−%未満、より好ましくは約1at−%未満、最も好ましくは約0.2at−%未満であってもよい。そして、一部の薄膜では、水素レベルは、約30at−%未満、好ましくは約20at−%未満、より好ましくは約15at−%未満、最も好ましくは約10at−%未満であってもよい。
本明細書に記載されう方法及び材料は、水平なソース/ドレイン(S/D)及びゲート面を有する従来の横方向のトランジスタ設計に対して構造した品質及び改善されたエッチング特性を提供しうるだけでなく、非水平(例えば、垂直)面上及び複雑な三次元(3D)構造上に使用するために改善されたSiN膜を提供しうる。特定の実施形態では、SiN膜は、集積回路製造中の三次元構造上に、開示された方法によって堆積される。三次元トランジスタは、例えば、ダブル−ゲート電界効果トランジスタ(DG FET)、及びFinFETを含む他の種類の複数のゲートFETを含んでもよい。例えば、本開示の窒化ケイ素薄膜は、FinFETのような平坦ではない複数のゲートトランジスタで有益であってもよく、ゲート、ソース及びドレイン領域の上部に加えて、垂直な壁上にケイ化物を形成するために望ましい。
Claims (29)
- プラズマエンハンスト原子層堆積(PEALD)処理により反応空間において基板に窒化ケイ素薄膜を形成する方法であって、前記PEALD処理は、少なくとも1つのPEALD堆積サイクルを備え、前記少なくとも1つのPEALD堆積サイクルは、
前記基板の表面にシリコン種のモノレイヤーを整列するために、前記基板の表面を気相ケイ素前駆体と接触するステップであって、前記ケイ素前駆体はH2SiI2である、ステップと、
前記基板の表面に窒化ケイ素を形成するために、吸着された前記シリコン種を窒素プラズマと接触するステップと、を備え、
前記接触するステップ時の前記反応空間の圧力は、少なくとも20Torrである、方法。 - 前記窒化ケイ素薄膜は、前記基板上の三次元構造に堆積され、前記三次元構造の上面に形成された前記窒化ケイ素薄膜の一部と、前記三次元構造の側壁面に形成された前記窒化ケイ素薄膜の一部とのウェットエッチング速度比は、希釈0.5%HFにおいて1:1である、請求項1に記載の方法。
- 前記窒素プラズマは、500ワット(W)から1000Wのプラズマパワーを用いて形成される請求項1に記載の方法。
- 前記接触するステップは、100℃から650℃の処理温度で行われる請求項1に記載の方法。
- 複数の堆積サイクルを備える反応空間において基板に窒化ケイ素薄膜を形成する方法であって、少なくとも1つの堆積サイクルは、
吸着されたシリコン種を前記基板の表面に提供するために、前記基板の表面を気相ケイ素前駆体と接触するステップと、
前記基板の表面に窒化ケイ素を形成するために、前記吸着されたシリコン種を、窒素前駆体からプラズマにより生成される反応種と接触するステップと、を備え、
前記接触するステップ時の前記反応空間の圧力は、少なくとも20Torrである、方法。 - 前記基板の表面を気相ケイ素前駆体と接触するステップは、前記吸着されたシリコン種のモノレイヤーを前記基板の表面に整列することを含む、請求項5に記載の方法。
- 前記気相ケイ素前駆体は、ハロゲン化シリルを含む請求項5に記載の方法。
- 前記気相ケイ素前駆体は、ヨウ素を含む請求項7に記載の方法。
- 前記気相ケイ素前駆体は、H2SiI2である請求項8に記載の方法。
- 前記反応空間内の処理圧力は、30Torrから500Torrである請求項5に記載の方法。
- 前記窒化ケイ素薄膜は、前記基板の表面上の三次元構造に堆積される請求項5に記載の方法。
- 前記三次元構造の上面に形成された前記窒化ケイ素薄膜の一部と、前記三次元構造の側壁面に形成された前記窒化ケイ素薄膜の一部とのウェットエッチング速度比は、希釈HFにおいて1:1である、請求項11に記載の方法。
- 前記少なくとも1つの堆積サイクルは、プラズマエンハンスト原子層堆積(PEALD)サイクルである請求項5に記載の方法。
- 前記窒素前駆体は、窒素ガス(N2)を含む請求項5に記載の方法。
- 前記窒素ガス(N2)は、前記少なくとも1つの堆積サイクルを通して連続的に流れる請求項14に記載の方法。
- 前記接触するステップは、100℃から650℃の処理温度で行われる請求項5に記載の方法。
- 前記基板の表面を前記気相ケイ素前駆体と接触するステップと前記吸着されたシリコン種を前記反応種と接触するステップとの間にパージガスを流すステップを更に備える請求項5に記載の方法。
- 前記基板の表面を前記気相ケイ素前駆体と接触するステップと前記吸着されたシリコン種を前記反応種と接触するステップとの間に余剰な気相ケイ素前駆体を除去するステップをに備える請求項5に記載の方法。
- 反応空間において基板に窒化ケイ素薄膜を形成する方法であって、
前記基板をケイ素前駆体及び窒素プラズマと交互かつ連続的に接触するステップを含む複数の窒化ケイ素堆積サブサイクルと、
複数の高圧力トリートメントサブサイクルであって、前記複数の高圧力トリートメントサブサイクルのうちの少なくとも1つは、前記基板を20Torrより大きい圧力で窒素プラズマと接触するステップを含む、複数の高圧力トリートメントサブサイクルと、を含む複数のスーパーサイクルを備える方法。 - 前記ケイ素前駆体は、H2SiI2である請求項19に記載の方法。
- 窒素含有プラズマは、NH3、N2H4、N2/H2混合物、N2及びそれらの混合物からなる群から選択される窒素前駆体から生成される請求項19に記載の方法。
- 前記窒化ケイ素薄膜は、前記基板上の三次元構造に堆積される請求項19に記載の方法。
- 前記三次元構造の上面に形成された前記窒化ケイ素薄膜のウェットエッチング速度と、前記三次元構造の側壁面に形成された前記窒化ケイ素薄膜のウェットエッチング速度とのウェットエッチング速度比は、希釈HFにおいて1:1である、請求項22に記載の方法。
- 前記少なくとも1つの窒化ケイ素堆積サブサイクルは、前記少なくとも1つの窒化ケイ素堆積サブサイクルを通してキャリアガスを流すステップを更に備える請求項19に記載の方法。
- 前記少なくとも1つの窒化ケイ素堆積サブサイクルは、前記少なくとも1つの窒化ケイ素堆積サブサイクルを通して水素含有ガス及び窒素含有ガスを流すステップを更に備える請求項19に記載の方法。
- 前記水素含有ガス及び前記窒素含有ガスは、前記窒素含有プラズマを形成するために用いられる請求項25に記載の方法。
- 前記少なくとも1つの高圧力トリートメントサブサイクルは、前記少なくとも1つの高圧トリートメントサブサイクルを通してキャリアガスを流すステップを更に備える請求項19に記載の方法。
- 前記高圧力トリートメントサブサイクルの圧力は、20Torrから500Torrである請求項19に記載の方法。
- 前記高圧力トリートメントサブサイクルの圧力は、20Torrから30Torrである請求項19に記載の方法。
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2021113481A JP7069387B2 (ja) | 2015-08-24 | 2021-07-08 | SiN薄膜の形成 |
JP2022075419A JP7309962B2 (ja) | 2015-08-24 | 2022-04-28 | SiN薄膜の形成 |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/834,290 | 2015-08-24 | ||
US14/834,290 US10410857B2 (en) | 2015-08-24 | 2015-08-24 | Formation of SiN thin films |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021113481A Division JP7069387B2 (ja) | 2015-08-24 | 2021-07-08 | SiN薄膜の形成 |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2017079327A true JP2017079327A (ja) | 2017-04-27 |
JP6954726B2 JP6954726B2 (ja) | 2021-10-27 |
Family
ID=58104231
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2016160611A Active JP6954726B2 (ja) | 2015-08-24 | 2016-08-18 | SiN薄膜の形成 |
JP2021113481A Active JP7069387B2 (ja) | 2015-08-24 | 2021-07-08 | SiN薄膜の形成 |
JP2022075419A Active JP7309962B2 (ja) | 2015-08-24 | 2022-04-28 | SiN薄膜の形成 |
Family Applications After (2)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2021113481A Active JP7069387B2 (ja) | 2015-08-24 | 2021-07-08 | SiN薄膜の形成 |
JP2022075419A Active JP7309962B2 (ja) | 2015-08-24 | 2022-04-28 | SiN薄膜の形成 |
Country Status (4)
Country | Link |
---|---|
US (3) | US10410857B2 (ja) |
JP (3) | JP6954726B2 (ja) |
KR (3) | KR102385974B1 (ja) |
TW (3) | TWI725985B (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108878258A (zh) * | 2017-05-11 | 2018-11-23 | Asm Ip控股有限公司 | 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法 |
JP2019029652A (ja) * | 2017-07-26 | 2019-02-21 | エーエスエム アイピー ホールディング ビー.ブイ. | 負バイアスを用いてpealdによって膜を堆積する方法 |
JP2019194353A (ja) * | 2018-04-30 | 2019-11-07 | エーエスエム アイピー ホールディング ビー.ブイ. | シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD) |
Families Citing this family (310)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9564309B2 (en) * | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9824881B2 (en) | 2013-03-14 | 2017-11-21 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
WO2017048259A1 (en) * | 2015-09-17 | 2017-03-23 | Intel Corporation | Graphene fluorination for integration of graphene with insulators and devices |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10084040B2 (en) | 2015-12-30 | 2018-09-25 | Taiwan Semiconductor Manufacturing Co., Ltd. | Seamless gap fill |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9865456B1 (en) * | 2016-08-12 | 2018-01-09 | Micron Technology, Inc. | Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures |
KR102613349B1 (ko) | 2016-08-25 | 2023-12-14 | 에이에스엠 아이피 홀딩 비.브이. | 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법 |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
KR20180068582A (ko) | 2016-12-14 | 2018-06-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR20180070971A (ko) | 2016-12-19 | 2018-06-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
CN108728825B (zh) * | 2017-04-25 | 2020-12-01 | Asm知识产权私人控股有限公司 | 沉积薄膜的方法及制造半导体装置的方法 |
KR102457289B1 (ko) * | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10763108B2 (en) * | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
KR102597978B1 (ko) | 2017-11-27 | 2023-11-06 | 에이에스엠 아이피 홀딩 비.브이. | 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US11037780B2 (en) | 2017-12-12 | 2021-06-15 | Asm Ip Holding B.V. | Method for manufacturing semiconductor device with helium-containing gas |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TW202325889A (zh) | 2018-01-19 | 2023-07-01 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
CN111630203A (zh) | 2018-01-19 | 2020-09-04 | Asm Ip私人控股有限公司 | 通过等离子体辅助沉积来沉积间隙填充层的方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
EP3737779A1 (en) | 2018-02-14 | 2020-11-18 | ASM IP Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202344708A (zh) | 2018-05-08 | 2023-11-16 | 荷蘭商Asm Ip私人控股有限公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
US11393674B2 (en) | 2018-05-18 | 2022-07-19 | Taiwan Semiconductor Manufacturing Company, Ltd. | Forming low-stress silicon nitride layer through hydrogen treatment |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11270899B2 (en) | 2018-06-04 | 2022-03-08 | Asm Ip Holding B.V. | Wafer handling chamber with moisture reduction |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US11492703B2 (en) | 2018-06-27 | 2022-11-08 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR20200002519A (ko) | 2018-06-29 | 2020-01-08 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) * | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) * | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11600530B2 (en) * | 2018-07-31 | 2023-03-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor device and method of manufacture |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US20200075325A1 (en) * | 2018-08-29 | 2020-03-05 | Asm Ip Holding B.V. | Film forming method |
KR20200030162A (ko) | 2018-09-11 | 2020-03-20 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110534425B (zh) * | 2018-09-18 | 2022-09-16 | 北京北方华创微电子装备有限公司 | 深硅刻蚀方法、深硅槽结构及半导体器件 |
CN110970344A (zh) | 2018-10-01 | 2020-04-07 | Asm Ip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
CN112930581A (zh) * | 2018-10-19 | 2021-06-08 | 朗姆研究公司 | 沉积氮化硅膜的方法 |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR20200051105A (ko) | 2018-11-02 | 2020-05-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP2020096183A (ja) | 2018-12-14 | 2020-06-18 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR20200091543A (ko) | 2019-01-22 | 2020-07-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
TW202104632A (zh) | 2019-02-20 | 2021-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用來填充形成於基材表面內之凹部的循環沉積方法及設備 |
TW202044325A (zh) | 2019-02-20 | 2020-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
TW202100794A (zh) | 2019-02-22 | 2021-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
KR20200108248A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOCN 층을 포함한 구조체 및 이의 형성 방법 |
JP7209568B2 (ja) * | 2019-03-27 | 2023-01-20 | 東京エレクトロン株式会社 | 基板処理方法及び基板処理装置 |
JP2020167398A (ja) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | ドアオープナーおよびドアオープナーが提供される基材処理装置 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US20200318237A1 (en) * | 2019-04-05 | 2020-10-08 | Asm Ip Holding B.V. | Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process |
KR20200123380A (ko) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | 층 형성 방법 및 장치 |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP2020188255A (ja) | 2019-05-16 | 2020-11-19 | エーエスエム アイピー ホールディング ビー.ブイ. | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141003A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 가스 감지기를 포함하는 기상 반응기 시스템 |
JP2022534793A (ja) * | 2019-06-07 | 2022-08-03 | ラム リサーチ コーポレーション | 原子層堆積時における膜特性の原位置制御 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP2021015791A (ja) | 2019-07-09 | 2021-02-12 | エーエスエム アイピー ホールディング ビー.ブイ. | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
CN112242296A (zh) | 2019-07-19 | 2021-01-19 | Asm Ip私人控股有限公司 | 形成拓扑受控的无定形碳聚合物膜的方法 |
CN112309843A (zh) | 2019-07-29 | 2021-02-02 | Asm Ip私人控股有限公司 | 实现高掺杂剂掺入的选择性沉积方法 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
KR20210018759A (ko) | 2019-08-05 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 화학물질 공급원 용기를 위한 액체 레벨 센서 |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR20210029663A (ko) | 2019-09-05 | 2021-03-16 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
TW202129060A (zh) | 2019-10-08 | 2021-08-01 | 荷蘭商Asm Ip控股公司 | 基板處理裝置、及基板處理方法 |
KR20210043460A (ko) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체 |
US11823907B2 (en) * | 2019-10-16 | 2023-11-21 | Wonik Ips Co., Ltd. | Processing method for substrate |
KR20210045930A (ko) | 2019-10-16 | 2021-04-27 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물의 토폴로지-선택적 막의 형성 방법 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP2021090042A (ja) | 2019-12-02 | 2021-06-10 | エーエスエム アイピー ホールディング ビー.ブイ. | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
TW202125596A (zh) | 2019-12-17 | 2021-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成氮化釩層之方法以及包括該氮化釩層之結構 |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
JP2021109175A (ja) | 2020-01-06 | 2021-08-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム |
KR20210095050A (ko) | 2020-01-20 | 2021-07-30 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
TW202130846A (zh) | 2020-02-03 | 2021-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成包括釩或銦層的結構之方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
KR20210117157A (ko) | 2020-03-12 | 2021-09-28 | 에이에스엠 아이피 홀딩 비.브이. | 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법 |
KR20210124042A (ko) | 2020-04-02 | 2021-10-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
US11898243B2 (en) | 2020-04-24 | 2024-02-13 | Asm Ip Holding B.V. | Method of forming vanadium nitride-containing layer |
KR20210132605A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
KR20210143653A (ko) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR20220006455A (ko) * | 2020-07-08 | 2022-01-17 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
TW202219628A (zh) | 2020-07-17 | 2022-05-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於光微影之結構與方法 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220027026A (ko) | 2020-08-26 | 2022-03-07 | 에이에스엠 아이피 홀딩 비.브이. | 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
US11979171B2 (en) | 2020-10-13 | 2024-05-07 | Microchip Technology Incorporated | Reduced complexity encoders and related systems, methods, and devices |
TW202229613A (zh) * | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202235675A (zh) | 2020-11-30 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 注入器、及基板處理設備 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
US11551926B2 (en) | 2021-01-22 | 2023-01-10 | Micron Technology, Inc. | Methods of forming a microelectronic device, and related systems and additional methods |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007005696A (ja) * | 2005-06-27 | 2007-01-11 | Tokyo Electron Ltd | プラズマ窒化処理方法および半導体装置の製造方法 |
WO2013137115A1 (ja) * | 2012-03-15 | 2013-09-19 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US20140273530A1 (en) * | 2013-03-15 | 2014-09-18 | Victor Nguyen | Post-Deposition Treatment Methods For Silicon Nitride |
US20140273529A1 (en) * | 2013-03-15 | 2014-09-18 | Victor Nguyen | PEALD of Films Comprising Silicon Nitride |
JP2014179607A (ja) * | 2013-03-14 | 2014-09-25 | Asm Ip Holding B V | 低温でのSiNの蒸着用Si前駆体 |
Family Cites Families (206)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US4683147A (en) | 1984-04-16 | 1987-07-28 | Canon Kabushiki Kaisha | Method of forming deposition film |
US4668365A (en) | 1984-10-25 | 1987-05-26 | Applied Materials, Inc. | Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition |
JPS61252932A (ja) | 1985-04-30 | 1986-11-10 | Mitsubishi Heavy Ind Ltd | ワイヤチエ−ンコネクタ |
US4696834A (en) | 1986-02-28 | 1987-09-29 | Dow Corning Corporation | Silicon-containing coatings and a method for their preparation |
JPH01103844A (ja) | 1987-10-16 | 1989-04-20 | Matsushita Electric Ind Co Ltd | 絶縁体薄膜の製造方法 |
US5024716A (en) | 1988-01-20 | 1991-06-18 | Canon Kabushiki Kaisha | Plasma processing apparatus for etching, ashing and film-formation |
JPH0570957A (ja) | 1991-09-19 | 1993-03-23 | Nec Corp | プラズマ気相成長装置 |
US5939333A (en) | 1996-05-30 | 1999-08-17 | Micron Technology, Inc. | Silicon nitride deposition method |
KR100385946B1 (ko) | 1999-12-08 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자 |
US7393561B2 (en) | 1997-08-11 | 2008-07-01 | Applied Materials, Inc. | Method and apparatus for layer by layer deposition of thin films |
JP3063840B2 (ja) | 1997-11-19 | 2000-07-12 | 日本電気株式会社 | 半導体装置およびその製造方法 |
JP2003522826A (ja) | 1997-12-02 | 2003-07-29 | ゲレスト インコーポレーテツド | ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法 |
US6475276B1 (en) | 1999-10-15 | 2002-11-05 | Asm Microchemistry Oy | Production of elemental thin films using a boron-containing reducing agent |
KR20010074387A (ko) | 2000-01-25 | 2001-08-04 | 황 철 주 | 실리콘질화막 형성방법 |
US6630413B2 (en) | 2000-04-28 | 2003-10-07 | Asm Japan K.K. | CVD syntheses of silicon nitride materials |
JP3420205B2 (ja) | 2000-11-20 | 2003-06-23 | Necエレクトロニクス株式会社 | 半導体装置の製造方法 |
KR100385947B1 (ko) | 2000-12-06 | 2003-06-02 | 삼성전자주식회사 | 원자층 증착 방법에 의한 박막 형성 방법 |
JP5068402B2 (ja) | 2000-12-28 | 2012-11-07 | 公益財団法人国際科学振興財団 | 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法 |
JP2002308643A (ja) | 2001-02-01 | 2002-10-23 | Nippon Electric Glass Co Ltd | 無アルカリガラス及びディスプレイ用ガラス基板 |
US7084080B2 (en) | 2001-03-30 | 2006-08-01 | Advanced Technology Materials, Inc. | Silicon source reagent compositions, and method of making and using same for microelectronic device structure |
US7005392B2 (en) | 2001-03-30 | 2006-02-28 | Advanced Technology Materials, Inc. | Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same |
US7005372B2 (en) | 2003-01-21 | 2006-02-28 | Novellus Systems, Inc. | Deposition of tungsten nitride |
GB0113751D0 (en) | 2001-06-06 | 2001-07-25 | Dow Corning | Surface treatment |
US6391803B1 (en) | 2001-06-20 | 2002-05-21 | Samsung Electronics Co., Ltd. | Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane |
US6756318B2 (en) | 2001-09-10 | 2004-06-29 | Tegal Corporation | Nanolayer thick film processing system and method |
US9708707B2 (en) | 2001-09-10 | 2017-07-18 | Asm International N.V. | Nanolayer deposition using bias power treatment |
US6929831B2 (en) | 2001-09-15 | 2005-08-16 | Trikon Holdings Limited | Methods of forming nitride films |
JP4121269B2 (ja) | 2001-11-27 | 2008-07-23 | 日本エー・エス・エム株式会社 | セルフクリーニングを実行するプラズマcvd装置及び方法 |
US20030215570A1 (en) | 2002-05-16 | 2003-11-20 | Applied Materials, Inc. | Deposition of silicon nitride |
KR100469126B1 (ko) | 2002-06-05 | 2005-01-29 | 삼성전자주식회사 | 수소 함유량이 적은 박막 형성방법 |
US7297641B2 (en) | 2002-07-19 | 2007-11-20 | Asm America, Inc. | Method to form ultra high quality silicon-containing compound layers |
US7540920B2 (en) | 2002-10-18 | 2009-06-02 | Applied Materials, Inc. | Silicon-containing layer deposition with silicon compounds |
CN100471991C (zh) | 2002-10-18 | 2009-03-25 | 应用材料有限公司 | 采用硅化合物进行的含硅层沉积 |
US7144806B1 (en) | 2002-10-23 | 2006-12-05 | Novellus Systems, Inc. | ALD of tantalum using a hydride reducing agent |
US7446217B2 (en) | 2002-11-14 | 2008-11-04 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films |
US7531679B2 (en) | 2002-11-14 | 2009-05-12 | Advanced Technology Materials, Inc. | Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride |
KR100496265B1 (ko) | 2002-11-29 | 2005-06-17 | 한국전자통신연구원 | 반도체 소자의 박막 형성방법 |
US7172792B2 (en) | 2002-12-20 | 2007-02-06 | Applied Materials, Inc. | Method for forming a high quality low temperature silicon nitride film |
US7122222B2 (en) | 2003-01-23 | 2006-10-17 | Air Products And Chemicals, Inc. | Precursors for depositing silicon containing films and processes thereof |
US7713592B2 (en) | 2003-02-04 | 2010-05-11 | Tegal Corporation | Nanolayer deposition process |
US9121098B2 (en) | 2003-02-04 | 2015-09-01 | Asm International N.V. | NanoLayer Deposition process for composite films |
KR100965758B1 (ko) | 2003-05-22 | 2010-06-24 | 주성엔지니어링(주) | 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리 |
US7125582B2 (en) | 2003-07-30 | 2006-10-24 | Intel Corporation | Low-temperature silicon nitride deposition |
US7524774B2 (en) | 2003-09-26 | 2009-04-28 | Tokyo Electron Limited | Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, plasma nitridation method, computer recording medium, and program |
US20050145177A1 (en) | 2003-12-30 | 2005-07-07 | Mcswiney Michael | Method and apparatus for low temperature silicon nitride deposition |
KR100560654B1 (ko) | 2004-01-08 | 2006-03-16 | 삼성전자주식회사 | 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법 |
US20050181633A1 (en) * | 2004-02-17 | 2005-08-18 | Hochberg Arthur K. | Precursors for depositing silicon-containing films and processes thereof |
JP4707959B2 (ja) | 2004-02-20 | 2011-06-22 | 日本エー・エス・エム株式会社 | シャワープレート、プラズマ処理装置及びプラズマ処理方法 |
TW200603287A (en) | 2004-03-26 | 2006-01-16 | Ulvac Inc | Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith |
KR101082921B1 (ko) | 2004-06-03 | 2011-11-11 | 삼성전자주식회사 | 반도체 소자의 실리콘 산화막 형성 방법 |
US20050282350A1 (en) | 2004-06-22 | 2005-12-22 | You-Hua Chou | Atomic layer deposition for filling a gap between devices |
US20060019032A1 (en) | 2004-07-23 | 2006-01-26 | Yaxin Wang | Low thermal budget silicon nitride formation for advance transistor fabrication |
JP4669679B2 (ja) | 2004-07-29 | 2011-04-13 | 東京エレクトロン株式会社 | 窒化珪素膜の製造方法及び半導体装置の製造方法 |
US7629270B2 (en) | 2004-08-27 | 2009-12-08 | Asm America, Inc. | Remote plasma activated nitridation |
US20060084283A1 (en) | 2004-10-20 | 2006-04-20 | Paranjpe Ajit P | Low temperature sin deposition methods |
US20060255315A1 (en) | 2004-11-19 | 2006-11-16 | Yellowaga Deborah L | Selective removal chemistries for semiconductor applications, methods of production and uses thereof |
JP2006190770A (ja) | 2005-01-05 | 2006-07-20 | Hitachi Kokusai Electric Inc | 基板処理装置 |
JP4546519B2 (ja) | 2005-02-17 | 2010-09-15 | 株式会社日立国際電気 | 半導体デバイスの製造方法 |
US7608549B2 (en) | 2005-03-15 | 2009-10-27 | Asm America, Inc. | Method of forming non-conformal layers |
US20060213437A1 (en) | 2005-03-28 | 2006-09-28 | Tokyo Electron Limited | Plasma enhanced atomic layer deposition system |
JP4607637B2 (ja) | 2005-03-28 | 2011-01-05 | 東京エレクトロン株式会社 | シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム |
US8138104B2 (en) | 2005-05-26 | 2012-03-20 | Applied Materials, Inc. | Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure |
US7473655B2 (en) | 2005-06-17 | 2009-01-06 | Applied Materials, Inc. | Method for silicon based dielectric chemical vapor deposition |
US7651955B2 (en) | 2005-06-21 | 2010-01-26 | Applied Materials, Inc. | Method for forming silicon-containing materials during a photoexcitation deposition process |
US7429538B2 (en) * | 2005-06-27 | 2008-09-30 | Applied Materials, Inc. | Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric |
US7402534B2 (en) | 2005-08-26 | 2008-07-22 | Applied Materials, Inc. | Pretreatment processes within a batch ALD reactor |
US7785658B2 (en) | 2005-10-07 | 2010-08-31 | Asm Japan K.K. | Method for forming metal wiring structure |
US20070116888A1 (en) | 2005-11-18 | 2007-05-24 | Tokyo Electron Limited | Method and system for performing different deposition processes within a single chamber |
US7780865B2 (en) | 2006-03-31 | 2010-08-24 | Applied Materials, Inc. | Method to improve the step coverage and pattern loading for dielectric films |
FR2900276B1 (fr) | 2006-04-25 | 2008-09-12 | St Microelectronics Sa | Depot peald d'un materiau a base de silicium |
US7795160B2 (en) | 2006-07-21 | 2010-09-14 | Asm America Inc. | ALD of metal silicate films |
KR100752190B1 (ko) | 2006-09-04 | 2007-08-27 | 동부일렉트로닉스 주식회사 | 반도체 소자의 갭필 방법 |
US7531452B2 (en) | 2007-03-30 | 2009-05-12 | Tokyo Electron Limited | Strained metal silicon nitride films and method of forming |
US7651961B2 (en) | 2007-03-30 | 2010-01-26 | Tokyo Electron Limited | Method for forming strained silicon nitride films and a device containing such films |
US7713874B2 (en) | 2007-05-02 | 2010-05-11 | Asm America, Inc. | Periodic plasma annealing in an ALD-type process |
US8084105B2 (en) | 2007-05-23 | 2011-12-27 | Applied Materials, Inc. | Method of depositing boron nitride and boron nitride-derived materials |
JP5151260B2 (ja) | 2007-06-11 | 2013-02-27 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
CN100590803C (zh) | 2007-06-22 | 2010-02-17 | 中芯国际集成电路制造(上海)有限公司 | 原子层沉积方法以及形成的半导体器件 |
US20090041952A1 (en) | 2007-08-10 | 2009-02-12 | Asm Genitech Korea Ltd. | Method of depositing silicon oxide films |
US7867923B2 (en) | 2007-10-22 | 2011-01-11 | Applied Materials, Inc. | High quality silicon oxide films by remote plasma CVD from disilane precursors |
US20090155606A1 (en) | 2007-12-13 | 2009-06-18 | Asm Genitech Korea Ltd. | Methods of depositing a silicon nitride film |
US7678715B2 (en) | 2007-12-21 | 2010-03-16 | Applied Materials, Inc. | Low wet etch rate silicon nitride film |
JP4935684B2 (ja) | 2008-01-12 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
US8129288B2 (en) | 2008-05-02 | 2012-03-06 | Intermolecular, Inc. | Combinatorial plasma enhanced deposition techniques |
JP4935687B2 (ja) | 2008-01-19 | 2012-05-23 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
KR101417728B1 (ko) | 2008-03-12 | 2014-07-11 | 삼성전자주식회사 | 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법 |
US7871942B2 (en) | 2008-03-27 | 2011-01-18 | Applied Materials, Inc. | Methods for manufacturing high dielectric constant film |
US8148269B2 (en) | 2008-04-04 | 2012-04-03 | Applied Materials, Inc. | Boron nitride and boron-nitride derived materials deposition method |
US8383525B2 (en) | 2008-04-25 | 2013-02-26 | Asm America, Inc. | Plasma-enhanced deposition process for forming a metal oxide thin film and related structures |
US8298628B2 (en) | 2008-06-02 | 2012-10-30 | Air Products And Chemicals, Inc. | Low temperature deposition of silicon-containing films |
JP5102393B2 (ja) | 2008-06-03 | 2012-12-19 | エア プロダクツ アンド ケミカルズ インコーポレイテッド | ケイ素含有フィルムの低温堆積 |
US8129555B2 (en) | 2008-08-12 | 2012-03-06 | Air Products And Chemicals, Inc. | Precursors for depositing silicon-containing films and methods for making and using same |
US8726838B2 (en) | 2010-03-31 | 2014-05-20 | Intermolecular, Inc. | Combinatorial plasma enhanced deposition and etch techniques |
JP2010103484A (ja) | 2008-09-29 | 2010-05-06 | Adeka Corp | 半導体デバイス、その製造装置及び製造方法 |
US20120153442A1 (en) | 2008-09-30 | 2012-06-21 | Tokyo Electron Limited | Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device |
KR101491726B1 (ko) | 2008-10-08 | 2015-02-17 | 주성엔지니어링(주) | 반도체 소자의 갭필 방법 |
US8647722B2 (en) | 2008-11-14 | 2014-02-11 | Asm Japan K.K. | Method of forming insulation film using plasma treatment cycles |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US7919416B2 (en) | 2009-01-21 | 2011-04-05 | Asm Japan K.K. | Method of forming conformal dielectric film having Si-N bonds by PECVD |
JP5547418B2 (ja) | 2009-03-19 | 2014-07-16 | 株式会社Adeka | 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法 |
JP2011023718A (ja) | 2009-07-15 | 2011-02-03 | Asm Japan Kk | PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法 |
US8173554B2 (en) | 2009-10-14 | 2012-05-08 | Asm Japan K.K. | Method of depositing dielectric film having Si-N bonds by modified peald method |
US8415259B2 (en) * | 2009-10-14 | 2013-04-09 | Asm Japan K.K. | Method of depositing dielectric film by modified PEALD method |
US20110136347A1 (en) | 2009-10-21 | 2011-06-09 | Applied Materials, Inc. | Point-of-use silylamine generation |
JP5346904B2 (ja) | 2009-11-27 | 2013-11-20 | 東京エレクトロン株式会社 | 縦型成膜装置およびその使用方法 |
US8114761B2 (en) | 2009-11-30 | 2012-02-14 | Applied Materials, Inc. | Method for doping non-planar transistors |
US20110151677A1 (en) | 2009-12-21 | 2011-06-23 | Applied Materials, Inc. | Wet oxidation process performed on a dielectric material formed from a flowable cvd process |
CN102687252A (zh) | 2009-12-30 | 2012-09-19 | 应用材料公司 | 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法 |
US8937353B2 (en) | 2010-03-01 | 2015-01-20 | Taiwan Semiconductor Manufacturing Co., Ltd. | Dual epitaxial process for a finFET device |
US20130157466A1 (en) | 2010-03-25 | 2013-06-20 | Keith Fox | Silicon nitride films for semiconductor device applications |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US8993460B2 (en) | 2013-01-10 | 2015-03-31 | Novellus Systems, Inc. | Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants |
CN102884638A (zh) * | 2010-05-07 | 2013-01-16 | 应用材料公司 | 用于太阳能电池的背侧反射器的氧化物-氮化物堆栈 |
WO2011162136A1 (en) | 2010-06-23 | 2011-12-29 | Tokyo Electron Limited | Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device |
CN103098173A (zh) | 2010-07-19 | 2013-05-08 | 瑞科硅公司 | 多晶硅生产 |
US8669185B2 (en) | 2010-07-30 | 2014-03-11 | Asm Japan K.K. | Method of tailoring conformality of Si-containing film |
US20120213940A1 (en) | 2010-10-04 | 2012-08-23 | Applied Materials, Inc. | Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma |
US20120149213A1 (en) | 2010-12-09 | 2012-06-14 | Lakshminarayana Nittala | Bottom up fill in high aspect ratio trenches |
JP5689398B2 (ja) | 2010-12-21 | 2015-03-25 | 東京エレクトロン株式会社 | 窒化シリコン膜の成膜方法及び成膜装置 |
JP2012142386A (ja) | 2010-12-28 | 2012-07-26 | Elpida Memory Inc | 窒化膜の形成方法 |
US8465811B2 (en) * | 2011-01-28 | 2013-06-18 | Asm Japan K.K. | Method of depositing film by atomic layer deposition with pulse-time-modulated plasma |
US8329599B2 (en) | 2011-02-18 | 2012-12-11 | Asm Japan K.K. | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen |
US8563443B2 (en) | 2011-02-18 | 2013-10-22 | Asm Japan K.K. | Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen |
JP2012216631A (ja) | 2011-03-31 | 2012-11-08 | Tokyo Electron Ltd | プラズマ窒化処理方法 |
US8580664B2 (en) | 2011-03-31 | 2013-11-12 | Tokyo Electron Limited | Method for forming ultra-shallow boron doping regions by solid phase diffusion |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
TW201306082A (zh) | 2011-04-18 | 2013-02-01 | Tokyo Electron Ltd | 電漿評估方法、電漿處理方法及電漿處理裝置 |
KR101657341B1 (ko) | 2011-04-25 | 2016-09-13 | 도쿄엘렉트론가부시키가이샤 | 성막 방법 |
KR101659463B1 (ko) | 2011-06-03 | 2016-09-23 | 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 | 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법 |
CN103620745B (zh) | 2011-08-25 | 2016-09-21 | 株式会社日立国际电气 | 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质 |
US8476743B2 (en) | 2011-09-09 | 2013-07-02 | International Business Machines Corporation | C-rich carbon boron nitride dielectric films for use in electronic devices |
US8557666B2 (en) | 2011-09-13 | 2013-10-15 | GlobalFoundries, Inc. | Methods for fabricating integrated circuits |
US8993072B2 (en) | 2011-09-27 | 2015-03-31 | Air Products And Chemicals, Inc. | Halogenated organoaminosilane precursors and methods for depositing films comprising same |
US8569184B2 (en) | 2011-09-30 | 2013-10-29 | Asm Japan K.K. | Method for forming single-phase multi-element film by PEALD |
JP6088178B2 (ja) | 2011-10-07 | 2017-03-01 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理装置およびプログラム |
JP6202798B2 (ja) | 2011-10-12 | 2017-09-27 | エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. | 酸化アンチモン膜の原子層堆積 |
TWI606136B (zh) | 2011-11-04 | 2017-11-21 | Asm國際股份有限公司 | 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 |
JP2013125762A (ja) | 2011-12-13 | 2013-06-24 | Tokyo Electron Ltd | 成膜装置、および成膜方法 |
US8698199B2 (en) | 2012-01-11 | 2014-04-15 | United Microelectronics Corp. | FinFET structure |
US8586487B2 (en) | 2012-01-18 | 2013-11-19 | Applied Materials, Inc. | Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
WO2013123143A1 (en) | 2012-02-14 | 2013-08-22 | Novellus Systems, Inc. | Silicon nitride films for semiconductor device applications |
JP5912637B2 (ja) | 2012-02-17 | 2016-04-27 | 東京エレクトロン株式会社 | 半導体装置の製造方法 |
US20130224964A1 (en) | 2012-02-28 | 2013-08-29 | Asm Ip Holding B.V. | Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond |
US8912101B2 (en) | 2012-03-15 | 2014-12-16 | Asm Ip Holding B.V. | Method for forming Si-containing film using two precursors by ALD |
JP6105967B2 (ja) | 2012-03-21 | 2017-03-29 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム |
JP5208294B2 (ja) | 2012-04-23 | 2013-06-12 | 株式会社日立国際電気 | 半導体装置の製造方法、基板処理方法及び基板処理装置 |
US9337018B2 (en) | 2012-06-01 | 2016-05-10 | Air Products And Chemicals, Inc. | Methods for depositing films with organoaminodisilane precursors |
US8722546B2 (en) | 2012-06-11 | 2014-05-13 | Asm Ip Holding B.V. | Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control |
KR20150036114A (ko) | 2012-07-20 | 2015-04-07 | 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 | Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체 |
US20140023794A1 (en) | 2012-07-23 | 2014-01-23 | Maitreyee Mahajani | Method And Apparatus For Low Temperature ALD Deposition |
US20140030444A1 (en) | 2012-07-30 | 2014-01-30 | Novellus Systems, Inc. | High pressure, high power plasma activated conformal film deposition |
JP2014060378A (ja) * | 2012-08-23 | 2014-04-03 | Tokyo Electron Ltd | シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置 |
US8742668B2 (en) | 2012-09-05 | 2014-06-03 | Asm Ip Holdings B.V. | Method for stabilizing plasma ignition |
US8889566B2 (en) | 2012-09-11 | 2014-11-18 | Applied Materials, Inc. | Low cost flowable dielectric films |
JP6538300B2 (ja) | 2012-11-08 | 2019-07-03 | ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated | 感受性基材上にフィルムを蒸着するための方法 |
US8772101B2 (en) | 2012-11-08 | 2014-07-08 | Globalfoundries Inc. | Methods of forming replacement gate structures on semiconductor devices and the resulting device |
US8784951B2 (en) | 2012-11-16 | 2014-07-22 | Asm Ip Holding B.V. | Method for forming insulation film using non-halide precursor having four or more silicons |
DE112013006170T5 (de) | 2012-12-21 | 2015-09-17 | Rec Silicon Inc | Hitzebeständiger Stahl für Ausrüstungsteile von Fliessbettreaktoren |
CN103915341B (zh) | 2013-01-08 | 2016-12-28 | 中芯国际集成电路制造(上海)有限公司 | 晶体管及其形成方法 |
US20140273526A1 (en) | 2013-03-12 | 2014-09-18 | David Thompson | Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives |
US10573511B2 (en) | 2013-03-13 | 2020-02-25 | Asm Ip Holding B.V. | Methods for forming silicon nitride thin films |
US9564309B2 (en) | 2013-03-14 | 2017-02-07 | Asm Ip Holding B.V. | Si precursors for deposition of SiN at low temperatures |
US20140273531A1 (en) | 2013-03-14 | 2014-09-18 | Asm Ip Holding B.V. | Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES |
US8828866B1 (en) | 2013-06-26 | 2014-09-09 | Applied Materials, Inc. | Methods for depositing a tantalum silicon nitride film |
JP6267080B2 (ja) | 2013-10-07 | 2018-01-24 | 東京エレクトロン株式会社 | シリコン窒化物膜の成膜方法および成膜装置 |
US9576790B2 (en) | 2013-10-16 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US9543140B2 (en) | 2013-10-16 | 2017-01-10 | Asm Ip Holding B.V. | Deposition of boron and carbon containing materials |
US20150125628A1 (en) * | 2013-11-06 | 2015-05-07 | Asm Ip Holding B.V. | Method of depositing thin film |
US9401273B2 (en) | 2013-12-11 | 2016-07-26 | Asm Ip Holding B.V. | Atomic layer deposition of silicon carbon nitride based materials |
TWI654336B (zh) | 2013-12-30 | 2019-03-21 | 美商蘭姆研究公司 | 具有脈衝式電漿曝露之電漿輔助式原子層沉積 |
US20150255324A1 (en) | 2014-03-06 | 2015-09-10 | Applied Materials, Inc. | Seamless gap-fill with spatial atomic layer deposition |
US9576792B2 (en) | 2014-09-17 | 2017-02-21 | Asm Ip Holding B.V. | Deposition of SiN |
US9214333B1 (en) | 2014-09-24 | 2015-12-15 | Lam Research Corporation | Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD |
KR101723546B1 (ko) | 2014-10-20 | 2017-04-05 | 주식회사 케이씨텍 | 박막 형성방법 및 원자층 증착장치 |
KR20170019668A (ko) | 2015-08-12 | 2017-02-22 | (주)디엔에프 | 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법 |
US20170051405A1 (en) | 2015-08-18 | 2017-02-23 | Asm Ip Holding B.V. | Method for forming sin or sicn film in trenches by peald |
US20170053792A1 (en) | 2015-08-21 | 2017-02-23 | Applied Materials, Inc. | High Temperature Thermal ALD Silicon Nitride Films |
US10410857B2 (en) | 2015-08-24 | 2019-09-10 | Asm Ip Holding B.V. | Formation of SiN thin films |
US11028477B2 (en) | 2015-10-23 | 2021-06-08 | Applied Materials, Inc. | Bottom-up gap-fill by surface poisoning treatment |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
JP6584347B2 (ja) | 2016-03-02 | 2019-10-02 | 東京エレクトロン株式会社 | 成膜方法 |
US20180033614A1 (en) | 2016-07-27 | 2018-02-01 | Versum Materials Us, Llc | Compositions and Methods Using Same for Carbon Doped Silicon Containing Films |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US9960033B1 (en) | 2016-12-16 | 2018-05-01 | Asm Ip Holding B.V. | Method of depositing and etching Si-containing film |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11017997B2 (en) | 2017-01-13 | 2021-05-25 | Applied Materials, Inc. | Methods and apparatus for low temperature silicon nitride films |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US9984869B1 (en) | 2017-04-17 | 2018-05-29 | Asm Ip Holding B.V. | Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10763108B2 (en) | 2017-08-18 | 2020-09-01 | Lam Research Corporation | Geometrically selective deposition of a dielectric film |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
US11955331B2 (en) | 2018-02-20 | 2024-04-09 | Applied Materials, Inc. | Method of forming silicon nitride films using microwave plasma |
TWI821283B (zh) | 2018-04-29 | 2023-11-11 | 美商應用材料股份有限公司 | 沉積方法 |
US10580645B2 (en) | 2018-04-30 | 2020-03-03 | Asm Ip Holding B.V. | Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US10381219B1 (en) * | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US20220005693A1 (en) | 2020-07-01 | 2022-01-06 | Asm Ip Holding B.V. | Silicon nitride and silicon oxide deposition methods using fluorine inhibitor |
-
2015
- 2015-08-24 US US14/834,290 patent/US10410857B2/en active Active
-
2016
- 2016-08-18 JP JP2016160611A patent/JP6954726B2/ja active Active
- 2016-08-19 TW TW105126474A patent/TWI725985B/zh active
- 2016-08-19 TW TW111147027A patent/TW202317808A/zh unknown
- 2016-08-19 TW TW110112028A patent/TWI789733B/zh active
- 2016-08-23 KR KR1020160106811A patent/KR102385974B1/ko active IP Right Grant
-
2019
- 2019-08-19 US US16/543,917 patent/US11133181B2/en active Active
-
2021
- 2021-07-08 JP JP2021113481A patent/JP7069387B2/ja active Active
- 2021-08-19 US US17/406,919 patent/US11784043B2/en active Active
-
2022
- 2022-04-08 KR KR1020220043679A patent/KR102503837B1/ko active IP Right Grant
- 2022-04-28 JP JP2022075419A patent/JP7309962B2/ja active Active
-
2023
- 2023-02-21 KR KR1020230023134A patent/KR20230034247A/ko active Application Filing
Patent Citations (5)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2007005696A (ja) * | 2005-06-27 | 2007-01-11 | Tokyo Electron Ltd | プラズマ窒化処理方法および半導体装置の製造方法 |
WO2013137115A1 (ja) * | 2012-03-15 | 2013-09-19 | 東京エレクトロン株式会社 | 成膜方法及び成膜装置 |
JP2014179607A (ja) * | 2013-03-14 | 2014-09-25 | Asm Ip Holding B V | 低温でのSiNの蒸着用Si前駆体 |
US20140273530A1 (en) * | 2013-03-15 | 2014-09-18 | Victor Nguyen | Post-Deposition Treatment Methods For Silicon Nitride |
US20140273529A1 (en) * | 2013-03-15 | 2014-09-18 | Victor Nguyen | PEALD of Films Comprising Silicon Nitride |
Cited By (7)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
CN108878258A (zh) * | 2017-05-11 | 2018-11-23 | Asm Ip控股有限公司 | 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法 |
JP2018190986A (ja) * | 2017-05-11 | 2018-11-29 | エーエスエム アイピー ホールディング ビー.ブイ. | トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法 |
JP7233173B2 (ja) | 2017-05-11 | 2023-03-06 | エーエスエム アイピー ホールディング ビー.ブイ. | トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法 |
JP2019029652A (ja) * | 2017-07-26 | 2019-02-21 | エーエスエム アイピー ホールディング ビー.ブイ. | 負バイアスを用いてpealdによって膜を堆積する方法 |
JP7162456B2 (ja) | 2017-07-26 | 2022-10-28 | エーエスエム アイピー ホールディング ビー.ブイ. | 負バイアスを用いてpealdによって膜を堆積する方法 |
JP2019194353A (ja) * | 2018-04-30 | 2019-11-07 | エーエスエム アイピー ホールディング ビー.ブイ. | シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD) |
JP7321747B2 (ja) | 2018-04-30 | 2023-08-07 | エーエスエム アイピー ホールディング ビー.ブイ. | シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD) |
Also Published As
Publication number | Publication date |
---|---|
JP6954726B2 (ja) | 2021-10-27 |
JP7069387B2 (ja) | 2022-05-17 |
TWI725985B (zh) | 2021-05-01 |
KR20170023727A (ko) | 2017-03-06 |
US10410857B2 (en) | 2019-09-10 |
TW202126842A (zh) | 2021-07-16 |
TW201708592A (zh) | 2017-03-01 |
US11784043B2 (en) | 2023-10-10 |
TW202317808A (zh) | 2023-05-01 |
TWI789733B (zh) | 2023-01-11 |
JP2022110017A (ja) | 2022-07-28 |
KR20220047948A (ko) | 2022-04-19 |
US20220044923A1 (en) | 2022-02-10 |
US11133181B2 (en) | 2021-09-28 |
KR20230034247A (ko) | 2023-03-09 |
KR102385974B1 (ko) | 2022-04-13 |
US20170062204A1 (en) | 2017-03-02 |
US20190378711A1 (en) | 2019-12-12 |
JP7309962B2 (ja) | 2023-07-18 |
JP2021168410A (ja) | 2021-10-21 |
KR102503837B1 (ko) | 2023-02-24 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP7069387B2 (ja) | SiN薄膜の形成 | |
JP7158616B2 (ja) | SiNの堆積 | |
US11069522B2 (en) | Si precursors for deposition of SiN at low temperatures | |
KR102482954B1 (ko) | 기판 상에 구조물을 형성하는 방법 | |
JP2023027062A (ja) | 低温でのSiNの堆積用Si前駆体 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20190815 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20200923 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20200929 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20201222 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20210309 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210708 |
|
C60 | Trial request (containing other claim documents, opposition documents) |
Free format text: JAPANESE INTERMEDIATE CODE: C60 Effective date: 20210708 |
|
A911 | Transfer to examiner for re-examination before appeal (zenchi) |
Free format text: JAPANESE INTERMEDIATE CODE: A911 Effective date: 20210716 |
|
C21 | Notice of transfer of a case for reconsideration by examiners before appeal proceedings |
Free format text: JAPANESE INTERMEDIATE CODE: C21 Effective date: 20210720 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20210907 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20210930 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6954726 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |