JP2017079327A - SiN薄膜の形成 - Google Patents

SiN薄膜の形成 Download PDF

Info

Publication number
JP2017079327A
JP2017079327A JP2016160611A JP2016160611A JP2017079327A JP 2017079327 A JP2017079327 A JP 2017079327A JP 2016160611 A JP2016160611 A JP 2016160611A JP 2016160611 A JP2016160611 A JP 2016160611A JP 2017079327 A JP2017079327 A JP 2017079327A
Authority
JP
Japan
Prior art keywords
torr
silicon nitride
plasma
silicon
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2016160611A
Other languages
English (en)
Other versions
JP6954726B2 (ja
Inventor
俊哉 鈴木
Toshiya Suzuki
俊哉 鈴木
ヴィジャミ ジェイ. ポア
J Pore Viljami
ヴィジャミ ジェイ. ポア
シャン チェン
Shang Chen
シャン チェン
令子 山田
Reiko Yamada
令子 山田
石川 大
Masaru Ishikawa
大 石川
邦年 難波
Kunitoshi Nanba
邦年 難波
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of JP2017079327A publication Critical patent/JP2017079327A/ja
Priority to JP2021113481A priority Critical patent/JP7069387B2/ja
Application granted granted Critical
Publication of JP6954726B2 publication Critical patent/JP6954726B2/ja
Priority to JP2022075419A priority patent/JP7309962B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Chemical Vapour Deposition (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Surface Acoustic Wave Elements And Circuit Networks Thereof (AREA)

Abstract

【課題】高圧力下で反応空間内の基板に窒化ケイ素薄膜を形成する方法を提供する。
【解決手段】本方法は、複数のプラズマエンハンスト原子層堆積(PEALD)サイクルを含むことができ、少なくとも1つのPEALD堆積サイクルは、反応空間内の20Torrから500Torrの処理圧力で基板を窒素プラズマと接触するステップを含む。一実施形態では、窒素前駆体は、例えばHSiIのようなハロゲン化シリルである。一実施形態では、処理は、三次元構造上に改善された特性を有する窒化ケイ素膜の堆積を可能にする。例えば、このような窒化ケイ素膜は、希釈HFにおいて約1:1の上面と側壁とのウェットエッチング速度の比を有しうる。
【選択図】図2A

Description

本開示は、一般的に、半導体装置の製造の分野に関するものであり、より具体的には、窒化ケイ素薄膜の低温形成に関するものである。
スペーサーは、後続の処理ステップに対して保護するための構造として半導体製造に広く用いられている。例えば、ゲート電極近傍に形成される窒化物スペーサーは、ドーピング又は注入ステップ時に下にあるソース/ドレイン領域を保護するためのマスクとして用いられうる。
半導体装置シュリンクの物理的幾何学形状として、ゲート電極スペーサーは、より小さくなってきている。スペーサー幅は、高密度ゲート電極線に亘ってコンフォーマルに堆積されうる窒化物厚さにより制限される。よって、窒化物スペーサーエッチングプロセスは、堆積される窒化物層厚さに対するスペーサー幅の高い比率を有することが好ましい。
現在のPEALD窒化ケイ素プロセスは、一般的に、トレンチ構造のような三次元構造上に堆積するために用いられるとき、異方性エッチング態様に悩まされている。言い換えれば、トレンチの側壁、フィン又は別の三次元構造に堆積される膜は、構造の上部領域の膜に比べて低い膜特性を示す。膜品質は、トレンチの上部又は構造化されたウェーハの平坦領域の上部の目標への適用にとって十分であるが、側壁又は他の非水平又は垂直表面にとって十分でない。
図1A及び1Bは、窒化ケイ素膜の典型的な例を示し、例えば、スペーサー用途で用いられうる。本願に記載される処理ではない従来のPEALD処理を用いて400℃で膜が堆積された。図1Aは、三次元表面に堆積された後であるが、HFによりエッチングされる前の膜を示す。エッチング処理は、その後、0.5%HFに約60秒間ワークピースを浸漬することにより行われた。図1Bは、窒化ケイ素膜エッチングの垂直部分の長さが膜の水平部分よりも長いことを示す。膜の厚さは、ナノメートルで示される。これらのような構造は、一般的に、FinFET用途のような更なる処理を乗り切ることができない。
一部の態様では、窒化ケイ素膜を形成する原子層堆積(atomic layer deposition(ALD))方法を提供する。一部の態様では、窒化ケイ素膜を形成するプラズマエンハンスト原子層堆積(plasma enhanced atomic layer deposition(PEALD))方法を提供する。本方法は、所望のエッチング特性と共に、例えば、良好なステップカバレッジ及びパターンローディング効果等のような所望の品質を有する窒化ケイ素膜の堆積を可能にする。一部の実施形態によれば、窒化ケイ素膜は、三次元構造上に堆積されたときに、垂直及び水平部分の両方に対して相対的に均一なエッチング速度を有する。一部の実施形態では、三次元構造の垂直及び水平部分に堆積される窒化ケイ素のウェットエッチング速度は、ほぼ等しい。このような三次元構造は、例えば、これに限定されないが、FinFET又は他の種類の複数ゲートFETを含んでもよい。一部の実施形態では、本開示の各種窒化ケイ素膜は、希釈HF(0.5%)で、分当たり約2−3nmの熱酸化物除去速度の2分の1未満のエッチング速度を有する。
一部の実施形態では、反応空間において基板上に窒化ケイ素薄膜を形成する方法は、プラズマエンハンスト原子層堆積(plasma enhanced atomic layer deposition(PEALD))処理を含みうる。PEALD処理は、基板の表面上に吸着されたケイ素種を提供するために基板の表面を気相ケイ素前駆体と接触するステップと、基板の表面に窒化ケイ素を形成するために、吸着されたケイ素種を窒素プラズマと接触するステップと、を含む少なくとも1つのPEALD堆積サイクルを含んでもよい。一部の実施形態では、ケイ素前駆体は、ハロゲン化ケイ素である。一部の実施形態では、ハロゲン化ケイ素は、ヨウ素を含み、例えば、HSiIであってもよい。接触するステップ時の反応空間での圧力は、少なくとも約20Torrでありうる。
一部の実施形態では、窒化ケイ素薄膜は、基板上の三次元構造上に堆積され、三次元構造の上面に形成される窒化ケイ素薄膜の一部と、三次元構造の側壁面に形成される窒化ケイ素薄膜の一部と、のウェットエッチング比は、約1:1である。
一部の実施形態では、窒素プラズマは、約500ワット(W)から約1000Wのプラズマパワーを用いて形成される。一部の実施形態では、接触するステップは、約100℃から約600℃の処理温度で行われる。
一部の実施形態では、反応空間における基板上の窒化ケイ素薄膜を形成する方法は、複数の原子層堆積(atomic layer deposition(ALD))サイクルを含みうる。ALD堆積サイクルの少なくとも1つは、吸着されたケイ素種を基板の表面に提供するために、基板の表面を気相ケイ素前駆体と接触するステップと、基板の表面に窒化ケイ素を形成するために、吸着されたケイ素種を窒素反応物質と接触するステップと、を含みうる。接触するステップ時の反応空間での圧力は、少なくとも約20Torrでありうる。一部の実施形態では、反応空間内の処理圧力は、約30Torrから約500Torrである。一部の実施形態では、接触するステップは、約100Torrから約650Torrの処理温度で行われうる。
一部の実施形態では、気相ケイ素前駆体は、ハロゲン化シリルを含みうる。一部の実施形態では、気相ケイ素前駆体は、ヨウ素を含み、例えば、HSiIであってもよい。
一部の実施形態では、窒化ケイ素薄膜は、基板の表面上に三次元構造で堆積される。一部の実施形態では、三次元構造の上面に形成される窒化ケイ素薄膜の一部と、三次元構造の側壁面に形成される窒化ケイ素薄膜の一部とのウェットエッチング比は、約1:1である。
一部の実施形態では、少なくとも1つの原子層堆積サイクルは、プラズマエンハンスト原子層堆積(plasma enhanced atomic layer deposition(PEALD))サイクルを含む。窒素反応物質は、窒素前駆体を用いてプラズマにより生成されうる。一部の実施形態では、窒素プラズマは、窒素ガス(N)から形成される。一部の実施形態では、窒素ガス(N)は、PEALD堆積サイクルを通して連続的に流れる。
一部の実施形態では、余剰な気相ケイ素前駆体は、基板の表面を気相ケイ素前駆体と接触するステップと、吸着されたケイ素種を窒素反応物質と接触するステップとの間に除去されうる。一部の実施形態では、パージガスは、基板の表面を気相ケイ素前駆体と接触するステップと、吸着されたケイ素種を窒素反応物質と接触するステップとの間に流されうる。
一部の実施形態では、反応空間内の基板に窒化ケイ素薄膜を形成する方法は、複数のスーパーサイクルを含むことができ、複数のスーパーサイクルは、基板をケイ素前駆体及び窒素プラズマと交互かつ連続的に接触するステップを含む複数の窒化ケイ素堆積サブサイクルと、複数の高圧力トリートメントサブサイクルであって、複数の高圧力トリートメントサブサイクルのうちの少なくとも1つは、基板を20Torrより大きい圧力で窒素プラズマと接触するステップを含む、複数の高圧トリートメントサブサイクルと、を含む。一部の実施形態では、前記圧力は、約20Torrから約500Torrである。一部の実施形態では、前記圧力は、約20Torrから約30Torrである。一部の実施形態では、前記圧力は、30Torrより大きい、又は約30Torrから約500Torrの間である。
一部の実施形態では、ケイ素前駆体は、HSiIである。一部の実施形態では、窒素含有プラズマは、NH、N、N/H混合物、N及びそれらの混合物から生成される。
一部の実施形態では、窒化ケイ素薄膜は、基板上の三次元構造上に堆積される。三次元構造上の上面に形成された窒化ケイ素のウェットエッチング速度と、三次元構造上の側壁面に形成された窒化ケイ素のウェットエッチング速度とのウェットエッチング速度比は、1:1である。
一部の実施形態では、少なくとも1つの窒化ケイ素堆積サブサイクルは、少なくとも1つの窒化ケイ素堆積サブサイクルを通してキャリアガスを流すステップを含みうる。一部の実施形態では、少なくとも1つの窒化ケイ素堆積サブサイクルは、更に、少なくとも1つの窒化ケイ素堆積サブサイクルを通して水素含有ガス及び窒素含有ガスを流すステップを含む。
一部の実施形態では、水素含有ガス及び窒素含有ガスは、窒素含有プラズマを形成するために用いられる。一部の実施形態では、少なくとも1つの高圧力トリートメントサブサイクルは、少なくとも1つの高圧力トリートメントサブサイクルを通してキャリアガスを流すステップを含む。
詳細な説明及び添付の図面から本発明がよりよく理解されるであろう。詳細な説明及び添付の図面は、例示を意味し、本発明を限定することを意味するものではない。
図1A及び1Bは、窒化ケイ素膜で行われるエッチング処理の従来の方法により堆積される窒化ケイ素膜及び結果を示す。 図1A及び1Bは、窒化ケイ素膜で行われるエッチング処理の従来の方法により堆積される窒化ケイ素膜及び結果を示す。 図2Aは、本開示の一部の実施形態に係る高圧力のPEALD処理による窒化ケイ素薄膜を形成する方法を示すフローチャートである。 図2Bは、本開示の一部の実施形態に係る高圧力トリートメント処理ステップを用いる窒化ケイ素薄膜を形成する方法を示すフローチャートである。 図3A及び3Bは、それぞれ、低い圧力のプラズマ及び高い圧力のプラズマにより生成されるイオンの三次元構造上の垂直な表面上の例示的なイオン入射角を示す概略図である。 図4A及び4Bは、それぞれ、窒化ケイ素堆積サブサイクル及び高圧力トリートメントサブサイクルを含む、一部の実施形態に係る、窒化ケイ素堆積処理のタイミング図の例である。 図5A−5Cは、従来の圧力(図5A及び5B)により形成されるSiN膜のウェットエッチング速度性能曲線、及び本明細書に記載される1以上の実施形態に係る高圧力トリートメント処理を用いて形成されたSiN膜のウェットエッチング速度性能を示す。 図5A−5Cは、従来の圧力(図5A及び5B)により形成されるSiN膜のウェットエッチング速度性能曲線、及び本明細書に記載される1以上の実施形態に係る高圧力トリートメント処理を用いて形成されたSiN膜のウェットエッチング速度性能を示す。 図5A−5Cは、従来の圧力(図5A及び5B)により形成されるSiN膜のウェットエッチング速度性能曲線、及び本明細書に記載される1以上の実施形態に係る高圧力トリートメント処理を用いて形成されたSiN膜のウェットエッチング速度性能を示す。 図5A−5Cは、従来の圧力(図5A及び5B)により形成されるSiN膜のウェットエッチング速度性能曲線、及び本明細書に記載される1以上の実施形態に係る高圧力トリートメント処理を用いて形成されたSiN膜のウェットエッチング速度性能を示す。図6A‐6Dは、ウェットエッチング浸漬(ディップ)への膜の露出の前後のトレンチ構造に形成されるSiN膜の断面視を示す走査電子顕微鏡(SEM)画像である。図6A及び6Bは、低圧力を用いて形成された膜のコンフォーマリティ及びウェットエッチングを示し、図6C及び6Dは、本明細書に記載される1以上の実施形態に従って堆積された膜のコンフォーマリティ及びウェットエッチングを示す。
窒化ケイ素膜は、平面ロジック、DRAM及びNANDフラッシュデバイスのような当業者にとって明らかな多様な用途を有している。より具体的には、均一なエッチング態様を示すコンフォーマルな窒化ケイ素薄膜は、半導体産業及び半導体産業以外の両方で多様な用途を有している。本開示の一部の実施形態によれば、様々な窒化ケイ素及び前駆体及び原子層堆積(ALD)によるそれらの膜を堆積するための方法を提供する。重要なのは、一部の実施形態では、窒化ケイ素膜は、三次元構造上に堆積されたときに、垂直及び水平部分の両方に対して、相対的に均一なエッチング速度を有する。このような三次元構造は、例えば、これに限定されないが、FinFET又は他の種類の複数ゲートFETを含んでもよい。一部の実施形態では、本開示の様々な窒化ケイ素膜は、希釈HF(0.5%)で1分当たり約2−3nmの熱酸化物除去速度の半分未満のエッチング速度を有する。
一部の実施形態では、窒化ケイ素膜は、プラズマエンハンスト原子層堆積(PEALD)処理により基板上に堆積される。一部の実施形態では、窒化ケイ素膜は、finFETデバイスの形成におけるfinのような三次元構造に亘って堆積される。
窒化ケイ素膜の組成は、便宜及び簡素化のため、一般的に、本明細書ではSiNと示される。しかし、当業者は理解するであろう。窒化ケイ素の実際の組成は、水素又は他の不純物を除いて膜のSi:N比を示し、SiNと表され、ここで、一部のSi−N結合が形成される限りxは約0.5から約2.0に変化する。一部の場合には、xは約0.9から約1.7、約1.0から約1.5又は約1.2から約1.4に変化してもよい。一部の実施形態では、窒化ケイ素は、Siが+IVの酸化状態及び材料の窒化物の量が変化して形成される。
一部の実施形態では、高圧PEALD処理は、SiN薄膜を堆積するために用いられる。SiN薄膜が堆積される基板は、代替的及び連続的に、ケイ素前駆体及び窒素反応物質と接触され、ここで、窒素反応物質は、窒素前駆体を用いてプラズマにより生成される反応種を含む。高圧PEALD処理は、複数の堆積サイクルを備えることができ、少なくとも1つの堆積サイクルは、上昇圧力状況で行われる。高圧PEALD処理の堆積サイクルは、上昇圧力下で、基板を、ケイ素前駆体及び窒素反応物質と交互かつ連続的に接触することを含んでもよい。一部の実施形態では、PEALD処理の1以上の堆積サイクルは、約6Torrから約500Torr、約6Torrから約50Torr又は約6Torrから約100Torrの圧力下で行われうる。一部の実施形態では、1以上の堆積サイクルは、約20Torrから約500Torr、約30Torrから約500Torr、約40Torrから約500Torr、又は約50Torrから約500Torrを含む、約20Torrを超える処理圧力下で行われうる。一部の実施形態では、1以上の堆積サイクルは、約20Torrから約30Torr、約20Torrから約100Torr、約30Torrから約100Torr、約40Torrから約100Torr、又は約50Torrから約100Torrの処理圧力下で行われうる。
一部の実施形態では、SiN薄膜を堆積するために用いられる高圧力PEALD処理は、従来の処理圧力で、基板をケイ素前駆体と接触することと、上昇圧力状況下で、基板に吸着されたケイ素種を、窒素プラズマのような窒素反応物質と接触することと、を含みうる。例えば、高圧力PEALD処理の1以上の堆積サイクルは、約0.1Torrから約5Torr、例えば約3Torr以下、の処理圧力で基板をケイ素前駆体と接触することと、約6Torrから約100Torr、約20Torrから約500Torr、約30Torrから約500Torr、約40Torrから約500Torr、又は約50Torrから約500Torrの処理圧力で、基板に吸着されたケイ素種を窒素反応物質と接触することと、を含みうる。一部の実施形態では、基板に吸着されたケイ素種を窒素反応物質と接触することは、約20Torrから約30Torr、約20Torrから約100Torr、約30Torrから約100Torr、約40Torrから約100Torr、又は約50Torrから約100Torrの処理圧力下で行われうる。
一部の実施形態では、高圧力PEALD処理は、ケイ素前駆体としてハロゲン化シリルを用いてもよい。一部の実施形態では、ケイ素前駆体は、ヨウ素を含む。一部の実施形態では、ケイ素前駆体は、HSiIである。
一部の実施形態では、高圧力PEALD処理用のケイ素前駆体は、窒素プラズマを含む。例えば、第2の前駆体は、N、NH又はNHラジカルを含んでもよい。一部の実施形態では、窒素プラズマは、N、例えば、N及びHの混合物から生成されてもよい。しかし、一部の実施形態では、プラズマが使用されない。窒素プラズマは、例えば、約10Wから約2,000W、約50Wから約1000W、約10Wから約1000W、又は約500Wから約1000Wのパワー(電力)で生成されてもよい。例えば、窒素プラズマは、約800Wから約1000Wのパワーで生成されうる。
一部の実施形態では、高圧力PEALD処理は、約100℃から約650℃の処理温度で実行されうる。一部の実施形態では、PEALD処理は、約100℃から約550℃又は約100℃から約450℃の処理温度で実行されうる。
例えば、一部の実施形態では、高圧力PEALD処理の複数の堆積サイクルの各々は、約6Torrから約500Torr、好ましくは約20Torrから約500Torr、より好ましくは約30Torrから約500Torrの上昇圧力レジーム、約100℃から約650℃の温度、及びケイ素前駆体としてHSiIのようなハロゲン化シリルを用いて行われてもよい。一部の実施形態では、複数の堆積サイクルの少なくとも1つは、これらの条件下で行われる。例えば、1以上の高圧堆積サイクルは、窒化ケイ素膜の堆積時に断続的に行われ、残りの堆積サイクルが従来の圧力で行われてもよい。
このような堆積処理を用いて三次元構造上に形成されるSiN薄膜は、有利に、構造の水平面(例えば、上面)と垂直面(例えば、側壁面)とに形成される膜の部分の間の特性の所望の均一性を示す。例えば、このようなPEALD処理を用いて形成されるSiN薄膜は、有利に、三次元構造の水平面と垂直面とで形成されるSiNの、ウェットエッチング速度(WER)、膜厚、密度及び/又は純度での均一性の増大を示しうる。一部の実施形態では、このようなPEALD処理は、有利に、所望のウェットエッチング速度比(wet etch rate ratios(WERR))を有するSiN薄膜を提供しうる。本明細書で用いられるように、ウェットエッチング速度比は、垂直面(例えば、側壁面)に形成されるSiN膜のエッチング速度に対する水平面(例えば、上面)に形成されるSiN膜のエッチング速度の比をいう。例えば、本明細書に記載される高圧力PEALD処理を用いて堆積されるSiN薄膜のウェットエッチング速度は、例えば、希釈HF(0.5重量%水溶液)に露出されたときに約1のウェットエッチング速度比(WERR)を提供する、垂直面及び水平面の両方での同一又は実質的に同一のWERを示しうる。一部の実施形態では、前記比は、約0.25から約2、約0.5から約1.5、約0.755から約1.25、又は約0.9から約1.1でありうる。一部の実施形態では、これらの比は、約2より大きいアスペクト比、好ましくは3より大きいアスペクト比、より好ましくは約5より大きいアスペクト比、最も好ましくはより約8大きいアスペクト比で実現されうる。一部の実施形態では、このようなPEALD処理は、垂直面及び水平面の両方で同一又は実質的に同一の厚さを有するSiN薄膜を有利に提供しうる。いかなる特定の理論に拘束されることを望むものではないが、一部の実施形態では、上昇圧力レジームで行われるSiN PEALD処理は、プラズマのイオン間の衝突を増加させることによって、有利にイオン衝撃の異方性を低減し、それにより、三次元構造の水平面と垂直面とに形成されるSiN膜の1以上の特性での差を低減すると考えられる。
一部の実施形態では、SiNは、少なくとも1つの低圧堆積サイクルを用いて堆積され、続いて、高圧力トリートメント処理により処理され、所望の特性を有するSiN薄膜を提供する。一部の実施形態では、SiN薄膜を形成するための処理は、1以上の窒化ケイ素堆積サブサイクルと、1以上の高圧力トリートメントサブサイクルと、を含みうる。一部の実施形態では、1以上の窒化ケイ素堆積サブサイクルは、従来の圧力で基板上にSiNを堆積し、1以上の高圧力トリートメントサブサイクルは、間欠的に提供されることができ、堆積されたSiNの1以上の特性を改善し、改善されたウェットエッチング速度比のような1以上の所望の特性を有するSiN薄膜を提供する。高圧力トリートメントサブサイクルは、各窒化ケイ素堆積サブサイクル後に提供される、又は例えば、2、3、4、5、10、20等のサイクル毎の堆積処理時のレギュラーインターバルに間欠的に提供されうる。
窒化ケイ素堆積サブサイクルは、従来の堆積圧力で行われるPEALD処理と、続いて、従来の堆積圧力よりも非常に高い圧力で行われるプラズマステップと含む高圧トリートメント処理と、を含んでもよい。例えば、PEALD処理は、約3Torr以下又は約4Torr以下のような約0.1Torrから約5Torrの処理圧力で行われることができ、高圧トリートメント処理は、例えば、少なくとも7Torr、少なくとも20Torr、少なくとも30Torr、少なくとも40Torr等のような少なくとも約6Torrの処理圧力で行われることができ、約6Torrから約500Torr、約7Torrから約500Torr、約20Torrから約500Torr、約30Torrから約500Torr、約40Torrから約500Torr、約50Torrから約100Torr、約6Torrから約100Torr、約50Torrから約100Torr、約40Torrから約100Torr、約30Torrから約100Torr、又は約20Torrから約100Torrを含む。
一部の実施形態では、PEALD処理は、窒素プラズマ等の窒素前駆体と組み合わせて、ケイ素前駆体として、HSiIのようなヨウ素を含む、ハロゲン化シリルを用いてもよい。高圧力トリートメント処理は、上昇圧力で窒素プラズマを提供することを含んでもよい。一部の実施形態では、このような窒化ケイ素形成処理は、垂直面及び水平面の両方で所望の特性を有する三次元構造上のコンフォーマルなSiN膜の形成を予想外に可能にしうる。例えば、窒化ケイ素形成処理は、ウェットエッチング速度(WER)間及び/又は膜厚間の差を含む、垂直面及び水平面で形成される薄膜間の品質の差を予想外に低減しつつ、所望の不純物レベルを有する膜を提供する。一部の実施形態では、このような窒化ケイ素形成処理は、垂直面及び水平面の両方で同一又は実質的に同一のWERを有するSiN薄膜を有利に提供しうる。一部の実施形態では、このような窒化ケイ素形成処理は、垂直面及び水平面の両方で同一又は実質的に同一の厚さを有利に提供しうる。一部の実施形態では、このような窒化ケイ素形成処理は、垂直面及び水平面の両方で密度及び/又は不純物レベルで所望の均一性を有するSiN薄膜を有利に提供しうる。例えば、希釈HF(0.5重量%水溶液)に露出されたときに、三次元構造の垂直面(例えば、側壁面)に形成されるSiN膜の部分のウェットエッチング速度に対する三次元構造の水平面(例えば、上面)に形成されるSiN薄膜の部分のウェットエッチング速度の比は、約1でありうる。一部の実施形態では、前記比は、約0.25から約2、約0.5から約1.5、約0.75から約1.25、又は約0.9から約1.1。これらの比は、約2より大きいアスペクト比、好ましくは3より大きいアスペクト比、より好ましくは約5より大きいアスペクト比、最も好ましくはより約8大きいアスペクト比で実現されうる。
一部の実施形態では、窒化ケイ素薄膜を形成する処理は、1以上のスーパーサイクルを含むことができ、1以上のスーパーサイクルの各々は、1以上の窒化ケイ素堆積サブサイクルと、1以上の高圧力トリートメントサブサイクルと、を含む。スーパーサイクルは、1以上の窒化ケイ素堆積サブサイクルと、それに続く1以上の高圧力トリートメントサブサイクルと、を含んでもよい。一部の実施形態では、スーパーサイクルは、所望の厚さかつ1以上の所望の特性を有する窒化ケイ素薄膜を形成するために、複数回繰り返されうる。一部の実施形態では、1つのスーパーサイクルのうちの窒化ケイ素サブサイクルの回数及び高圧力トリートメント処理の回数は、複数のスーパーサイクルを含む窒化ケイ素形成処理の1以上の他のスーパーサイクルとは異なりうる。一部の実施形態では、1つのスーパーサイクルのうちの窒化ケイ素サブサイクルの回数及び高圧力トリートメント処理の回数は、複数のスーパーサイクルを含む窒化ケイ素形成処理の1以上の他のスーパーサイクルと同一でありうる。一部の実施形態では、窒化ケイ素膜を形成する処理は、1つのスーパーサイクルを含むことができ、スーパーサイクルは、複数の窒化ケイ素堆積サブサイクルと、それに続く複数の高圧力トリートメント処理サブサイクルと、を含む。スーパーサイクルの回数及び/又はスーパーサイクルの窒化ケイ素堆積サブサイクル及び高圧力トリートメント処理の回数は、所望の特性を有する窒化ケイ素膜を形成するために選択されうる。本明細書に記載されるように、本明細書に記載される1以上の処理は、三次元構造上に亘るコンフォーマルなSiN薄膜を提供することができ、三次元構造上に形成されるSiN薄膜は、垂直面及び水平面の両方に特性の所望の均一性も示す。
窒化ケイ素薄膜の形成
図2Aは、一部の実施形態に係る窒化ケイ素薄膜を堆積するために用いられうる上昇処理圧力下で行われる窒化ケイ素PEALD堆積サイクル200を概略的に示すフローチャートである。特定の実施形態によれば、窒化ケイ素薄膜は、複数の窒化ケイ素堆積サイクル200を含む高圧力PEALD型処理によって基板上に形成される。
各窒化ケイ素堆積サイクル200は、
(1)シリコン種が基板の表面に吸着するように、上昇処理圧力202下で基板の表面を、気化されたケイ素前駆体と接触することと、
(2)上昇処理圧力204下で、吸着されたケイ素種を窒素含有反応物質と接触し、それにより、吸着されたケイ素種を窒化ケイ素に変えることと、を含む。
一部の実施形態では、窒素含有反応物質は、1以上の窒素含有前駆体からのプラズマにより生成された反応物質を含む。
一部の実施形態では、1以上の窒素含有前駆体は、吸着されたケイ素種を窒化ケイ素に変えるために、適切な回数で形成された窒素含有プラズマと共に、サイクルを通して連続的に流れてもよい。例えば、窒素ガス(N)及び/又は水素(H)は、サイクルを通して連続的に流れてもよい。
接触するステップは、所望の厚さ及び組成の薄膜が得られるまで繰り返される。余剰な反応物質は、各接触するステップ後、つまり、ステップ202及び204後に反応空間からパージされてもよい。
一部の実施形態では、PEALD堆積サイクル200のケイ素前駆体は、ハロゲン化シリルを含んでもよい。一部の実施形態では、ケイ素前駆体は、HSiIである。
一部の実施形態では、高圧力PEALD処理は、約100℃から約650℃、約100℃から約550℃、約100℃から約450℃、又は約200℃から約600℃の温度で行われる。一部の実施形態では、前記温度は、約300℃又は約550℃である。一部の実施形態では、前記温度は、約400℃から約500℃である。一部の実施形態では、高圧力PEALD処理は、約550℃又は約600℃の温度で行われる。
一部の実施形態では、図2Aを参照して説明される接触するステップ(1)及び(2)の一方又は両方は、必要な場合、余剰な反応物質及び/又は反応副生成物が基板の近傍から除去されるステップに続きうる。例えば、パージステップは、接触するステップ(1)及び(2)の一方又は両方に続きうる。
以下に詳細に説明されるように、窒化ケイ素薄膜を堆積する高圧力PEALD処理は、約6Torr又は約20Torrよりも大きい処理圧力で行われうる。一部の実施形態では、処理圧力は、約6Torrから約500Torr、、約6Torrから約100Torr、約40Torrから約500Torr、約50Torrから約100Torr、約40Torrから約100Torr、約30Torrから約100Torr、又は、約20Torrから約100Torrの圧力で行われうる。一部の実施形態では、処理圧力は、約20Torrから約50Torr、又は約20Torrから約30Torrでありうる。例えば、高圧力PEALD処理のPEALD堆積サイクルの1以上は、約30Torrから約500Torrを含む、約20Torrから約500Torrの処理圧力で行われうる。一部の実施形態では、図2Aを参照して接触するステップ(1)及び(2)は、このような上昇圧力で行われうる。
以下に詳細に説明されるように、図2Aを参照して説明される窒素含有プラズマは、NH及びN、N/Hの混合物又はN−H結合を有する他の前駆体のようなN及びHの療法を有する化合物を含むガスを含む、窒素含有ガスを用いて生成されうる。一部の実施形態では、窒素含有プラズマを生成するために用いられるプラズマパワーは、約10ワット(W)から約2,000W、約50Wから約1000W、約100Wから約1000W、又は約500Wから約1000Wでありうる。一部の実施形態では、窒素含有プラズマを生成するために用いられるプラズマパワーは、約800Wから約1,000Wでありうる。
本明細書に記載されるように、一部の実施形態では、SiNを形成するPEALD処理の1以上の堆積サイクル又は堆積サイクルの一部は、2つの異なる処理圧力で行われうる。一部の実施形態では、基板を窒素前駆体と接触することは、約0.1Torrから約5Torr又は約1Torrから約5Torrを含む、約0.01Torrから約5Torrの処理圧力で行われることができ、吸着されたケイ素種を接触することは、本明細書に記載されるような上昇圧力レジーム下で行われうる。例えば、ケイ素種を窒素反応物質を接触することは、少なくとも約6Torr、約7Torr、約20Torr、約30Torr又は約40Torrの処理圧力で行われうる。一部の実施形態では、処理圧力は、約6Torrから約500Torr、約7Torrから約500Torr、約20Torrから約500Torr、約6Torrから約100Torr、約20Torrから約100Torr、又は約30Torrから約100Torrでありうる。
図2Bを参照して、別の実施形態に係る窒化ケイ素薄膜を形成する処理を概略的に示すフローチャートを示す。本明細書に記載されるように、一部の実施形態では、窒化ケイ素薄膜を形成する処理は、1以上のスーパーサイクル220を含むことができ、1以上のスーパーサイクルの各々は、1以上のケイ素堆積サブサイクル226と、1以上の高圧トリートメントサブサイクル228と、を含む。特定の実施形態によれば、
窒化ケイ素堆積サブサイクル226は、
(1)シリコン種が基板の表面に吸着するように、基板の表面を、蒸発されたケイ素前駆体と接触すること222と、
(2)吸着されたケイ素種を窒素含有反応物質と接触し、それにより、吸着されたケイ素化合物を窒化ケイ素に変えること204と、
を含むPEALD処理を含む。
一部の実施形態では、窒化ケイ素堆積サブサイクル226は、約0.01Torrから約5Torr、好ましくは約0.1Torrから約5Torr、及びより好ましくは約1Torrから約5Torrの処理圧力で行われる。1以上の窒化ケイ素堆積サブサイクル226は、図2Aを参照して説明されるPEALD処理に加えられるよりも非常に低い圧力で行われうる。
一部の実施形態では、窒化ケイ素堆積サブサイクル226のケイ素前駆体は、ハロゲン化シリルを含んでもよい。一部の実施形態では、ケイ素前駆体は、HSiIである。
一部の実施形態では、窒化ケイ素堆積サブサイクル226は、約100℃から約650℃、約100℃から約550℃、約100℃から約450℃、約200℃から約600℃、約300℃から約550℃、又は約400℃から約500℃の温度で行われる。一部の実施形態では、窒化ケイ素堆積サブサイクル226は、約550℃から約600℃の温度で行われる。窒化ケイ素堆積サブサイクル226は、SiNの所望の堆積を提供するために、複数回繰り返されてもよい。
図2Bに示されるように、スーパーサイクル220は、1以上の高圧力トリートメントサブサイクル228を含みうる。一部の実施形態では、窒化ケイ素堆積サブサイクル226は、1以上の高圧力トリートメントサブサイクル228を行う前に、1以上のスーパーサイクル220の各々で複数回繰り返されうる。1以上の高圧トリートメントサブサイクル228は、1以上の窒化ケイ素堆積サブサイクル226を用いて堆積されるSiNの1以上の特性を改善するように構成されうる。
以下に詳細に説明されるように、高圧力トリートメントサブサイクルは、例えば、約6Torr、約20Torr、約30Torr又は約50Torrの圧力の上昇圧力レジームで行われる1以上のプラズマステップを含みうる。一部の実施形態では、プラズマステップは、約20Torrから約500Torrで行われうる。一部の実施形態では、1以上のプラズマステップは、水素含有種がない又は実質的にない窒素含有プラズマを含みうる。例えば、窒素含有プラズマは、水素がない又は実質的にないガスを用いて生成されうる。例えば、水素含有ガス(例えば、水素(H)ガス)は、高圧力トリートメントサブサイクル228の1以上のプラズマステップ中に反応チャンバに流れない。一部の実施形態では、窒素含有プラズマは、窒素ガス(N)を用いて生成される。一部の実施形態では、高圧力トリートメントサブサイクル228は、約100℃から約650℃、約100℃から約550℃、約100℃から約450℃、約200℃から約400℃、及び約300℃から約400℃の間の温度、又は約400℃の温度で行われる。高圧力トリートメントサブサイクル228のプラズマステップにためのプラズマパワーは、約100ワット(W)から約1,500W、好ましくは約200Wから約1,000W、より好ましくは約500Wから約1,000Wでありうる。例えば、高圧力トリートメント処理は、約800Wのプラズマパワーを有してもよい。
窒化ケイ素のPEALD
本明細書に記載されるように、一部の実施形態では、SiN薄膜を形成する処理は、上昇処理圧力レジームで行われるPEALD処理でありうる。高圧力PEALD処理のための処理圧力は、約20Torr、約30Torr又は約50Torr超を含む、約6Torr超でありうる。一部の実施形態では、高圧力PEALD処理のための処理圧力は、約30Torrから約500Torr、約20Torrから約100Torr、約30Torrから約100Torr、約20Torrから約500Torr、又は、約30Torrから約50Torrを含む、約20Torrから約500Torrでありうる。一部の実施形態では、SiN薄膜を形成する処理は、1以上の高圧力トリートメントサブサイクルと組み合わせて、SiNを堆積するための低い処理圧力で行われるPEALD処理を含む1以上の窒化ケイ素堆積サブサイクルを含みうる複数のスーパーサイクルを含みうる。例えば、1以上の窒化ケイ素堆積サブサイクルのためのPEALD処理は、約0.01Torrから約5Torr、好ましくは約0.1Torrから約3Torrの処理圧力を含み、1以上の高圧力トリートメントサブサイクルは、約30Torr又は約50Torr超を含む約20Torrよりも多い処理圧力を含みうる。
PEALD処理は、集積回路ワークピースのような基板上に、及び一部の実施形態では、基板上の三次元構造上にSiNを堆積するために用いられることができる。簡潔には、基板又はワークピースは、反応チャンバに配置され、交互に繰り返し表面反応を受ける。一部の実施形態では、薄いSiN膜は、自己制限型ALDサイクルの繰り返しにより形成される。ALD型処理は、制御された、一般的な自己制限型表面反応に基づく。気相反応は、典型的には、基板を反応物質と交互かつ連続的に接触することにより回避される。気相反応物質は、例えば、反応パルス間の余剰な反応物質及び/又は反応副生成物を除去することにより、反応チャンバ内から互いに隔てられる。反応物質は、パージガス及び/又は真空を補助するために基板表面の近傍から除去されてもよい。一部の実施形態では、余剰な反応物質及び/又は反応副生成物は、例えば、不活性ガスでパージすることにより反応空間から除去される。
好ましくは、SiN膜を堆積するために、各ALDサイクルは、少なくとも2つの異なる段階を含む。反応空間からの反応物質の提供及び除去は、1つの段階とみなされる。第1の段階では、ケイ素を含む第1の反応物質が提供され、基板表面に約一つのモノレイヤー未満を形成する。この反応物質は、「ケイ素前駆体」、「ケイ素含有前駆体」又は「ケイ素反応物質」とも呼ばれ、例えば、HSiIであってもよい。
第2の段階では、反応種を含む第2の反応物質が提供され、吸着されたケイ素を窒化ケイ素に変える。一部の実施形態では、第2の反応物質は、窒素反応物質を含む。一部の実施形態では、反応種は、励起種を含む。一部の実施形態では、第2の反応物質は、窒素含有プラズマからの種を含む。例えば、第2の反応物質は、1以上の窒素前駆体からプラズマにより生成された窒素含有反応物質を含んでもよい。一部の実施形態では、第2の反応物質は、窒素ラジカル、窒素原子及び/又は窒素プラズマを含む。第2の反応物質は、窒素含有反応物質を含まない他の種を含んでもよい。一部の実施形態では、第2の反応物質は、水素のプラズマ、水素のラジカル又は一形態又は他の原子状水素を含んでもよい。一部の実施形態では、第2の反応物質は、例えば、プラズマ形態又は元素形態で、ラジカルとして、He、Ne、Ar、Kr又はXe、好ましくはAr又はHeのような希ガスからの種を含んでもよい。希ガスからのこれらの反応種は、堆積された膜への材料に寄与する必要はないが、一部の環境では、プラズマの形成及び引火を助けるとともに膜成長に寄与しうる。一部の実施形態では、プラズマを形成するために用いられるガスは、堆積処理を通じて一定に流れてもよいが、間欠的に活性化されるのみである。一部の実施形態では、第2の反応物質は、Arのような希ガスからの種を含まない。よって、一部の実施形態では、吸着されたケイ素前駆体は、Arからプラズマにより生成された反応種と接触されない。
追加の段階が加えられてもよく、当該段階は、最終的な膜の組成を調整するために要望通りに除去されてもよい。
反応物質の1以上は、1以上の希ガスのようなキャリアガスの補助のために提供されてもよい。一部の実施形態では、キャリアガスは、Ar又はHeの1以上を含む。一部の実施形態では、ケイ素前駆体及び第2の反応物質は、キャリアガスの補助のために提供される。
一部の実施形態では、段階のうちの2つは、重複又は組み合わせられてもよい。例えば、ケイ素前駆体及び第2の反応物質は、部分的又は完全に重複して、パルスで同時に提供されてもよい。また、第1及び第2の段階、並びに第1及び第2の反応物質と呼ばれるが、前記段階の順序は、変更されてもよく、ALDサイクルは、前記段階のいずれか1つで開始してもよい。つまり、他に特定されない限り、反応物質は、任意の順序で提供されることができ、処理は、反応物質のいずれか1つで開始してもよい。
一部の実施形態によれば、窒化ケイ素薄膜は、FinFET用途のような三次元構造を有する基板上にPEALD処理を用いて堆積される。前記処理は、以下のステップを含んでもよい:
(1)三次元構造を含む基板を反応空間に提供すること、
(2)ケイ素含有種が、三次元構造の表面を含む基板の表面に吸着されるように、基板を、SiIのようなケイ素含有前駆体と接触すること、
(3)余剰なケイ素含有前駆体及び反応副生成物を反応空間から除去すること、
(4)吸着されたケイ素種を、窒素含有種と接触すること、ここで、窒素含有種は、N、NH、N又はN及びHのような気相反応物質を用いて窒素含有プラズマを生成することにより形成され、
(5)余剰な窒素原子、プラズマ又はラジカル及び反応副生成物を除去すること。
ステップ(2)から(5)は、所望の厚さの窒化ケイ素膜が形成されるまで繰り返されてもよい。
一部の実施形態では、ステップ(4)は、窒素原子、プラズマ又はラジカルがリモートで形成され、反応空間に提供されるステップで置き換えられうる。
一部の実施形態では、PEALD処理は、約100℃から約650℃、約100℃から約550℃、約100℃から約450℃、約200℃から約600℃、又は約400℃から約500℃の間の温度で行われる。一部の実施形態では、温度は、約300℃である。一部の実施形態では、PEALD処理は、約550℃又は約600℃の温度で行われる。
以下により詳細に説明されるように、一部の実施形態では、SiN膜を堆積するために、1以上のPEALD堆積サイクルは、ケイ素前駆体の提供で開始し、第2の前駆体に続く。他の実施形態では、堆積は、第2の前駆体の提供で開始し、ケイ素前駆体に続いてもよい。第1の前駆体段階が、一般的に、以前のサイクルの最後の段階によって残された結果物と反応することを当業者は理解するであろう。よって、基板表面上に以前に吸着された反応物質はない又は反応空間に存在せず、反応種段階が、PEALDサイクルの第1の段階である場合、後続のPEALDサイクルでは、反応種段階は、ケイ素段階に有効に続く。一部の実施形態では、1以上の異なるPEALDサブサイクルは、SiN薄膜を形成するための処理で提供される。
余剰な反応物質及び反応副生成物は、必要な場合、基板の近傍から、特に、反応物質パルス間で基板表面から除去される。一部の実施形態では、反応チャンバは、不活性ガスでパージすることにより、反応物質パルス間にパージされる。各反応物質のフロー速度及び時間は、除去ステップがそうであるように、調整可能であり、膜の品質及び様々な特性の制御を可能にする。
上述したように、一部の実施形態では、ガスは、各堆積サイクル中に連続的に反応チャンバに提供され、反応種は、反応チャンバ内又は反応チャンバの上流のいずれかで、ガスにプラズマを生成することにより提供される。一部の実施形態では、ガスは、窒素を含む。一部の実施形態では、ガスは、窒素である。別の実施形態では、ガスは、ヘリウム又はアルゴンを含んでもよい。一部の実施形態では、ガスは、ヘリウム又は窒素である。ガスの流れは、また、第1及び/又は第2の反応物質(又は反応種)に対するパージガスとしても機能する。例えば、窒素の流れは、第1のケイ素前駆体に対するパージガスとして機能し、第2の反応物質として(反応種のソースとして)機能してもよい。一部の実施形態では、窒素、アルゴン又はヘリウムは、ケイ素前駆体を窒化ケイ素に変えるための第1の前駆体及び励起種のソースに対するパージガスとして機能してもよい。一部の実施形態では、プラズマが生成されるガスは、アルゴンを含まず、吸着されたケイ素前駆体は、Arからプラズマにより生成された反応種と接触されない。
PEALD堆積サイクルは、所望の厚さ及び組成が得られるまで繰り返される。一部の実施形態では、フロー速度、フロー時間、パージ時間及び/又は反応物質自体のような堆積パラメータは、所望の特性を有する膜を得るために、1以上の堆積サブサイクルで変更されてもよい。一部の実施形態では、水素及び/又は水素プラズマは、堆積サブサイクル又は堆積処理で提供されない。
用語「パルス」は、所定の長さの時間に反応物質を反応物質チャンバに供給することを含むように理解される。用語「パルス」は、パルスの長さ又は持続期間は厳密ではなく、パルスは、任意の長さでありうる。
一部の実施形態では、ケイ素反応物質は、初めに提供される。初期表面終端の後、必要又は望まれる場合、第1のケイ素反応物質パルスは、ワークピースに供給される。一部の実施形態によれば、第1の反応物質パルスは、キャリアガス流と、HSiIのような、対象のワークピース面と反応する揮発性ケイ素種とを含む。よって、ケイ素反応物質は、これらのワークピース表面上に吸着する。第1の反応物質パルスは、第1の反応物質パルスの余剰な構成物質が、この処理で形成される分子層と更に反応しないように、ワークピース表面で自己飽和する。
第1の反応物質パルスは、ガス状形態で供給されることが好ましい。ケイ素前駆体ガスは、本命最初の目的のために「揮発性」であるとみなされ、当該種が、処理条件下で十分な蒸気圧を示す場合、当該種を十分な濃度でワークピースに輸送し、露出面を飽和する。
一部の実施形態では、ケイ素反応物質パルスは、約0.05秒から約5.0秒、約0.1秒から約3秒、又は約0.2秒から約1.0秒である。最適なパルス時間は、特定の状況に基づいて当業者によって明示的に決定されうる。
基板表面に吸着するための分子層に対する十分な時間の後、余剰な第1のケイ素前駆体は、反応空間から除去される。一部の実施形態では、余剰な第1の反応物質は、反応空間から、必要な場合、余剰な反応物質及び反応副生成物を拡散又はパージするために、第1の物質の流れを停止し、十分な時間キャリアガス又はパージガスを流し続けることによってパージされる。一部の実施形態では、余剰な第1の前駆体は、サブサイクルを通して流れる、窒素又はアルゴン等の不活性ガスの補助によりパージされる。
一部の実施形態では、第1の反応物質は、約0.1秒から約10秒、約0.3秒から約5秒、又は約0.3秒から約1秒である。ケイ素反応物質の提供及び除去は、PEALDの第1又はケイ素段階とみなされうる。
第2の段階では、窒素プラズマのような反応種を含む第2の反応物質は、ワークピースに提供される。窒素Nは、一部の実施形態では、各ALDサイクル中に反応チャンバに連続的に流される。窒素プラズマは、例えば、リモートプラズマ発生器を通じて窒素を流すことにより、反応チャンバ又は反応チャンバの上流で窒素でプラズマを生成することにより形成されてもよい。
一部の実施形態では、プラズマは、H及びNガスを流して生成される。一部の実施形態では、H及びNは、プラズマが点火される前、又は水素原子又はラジカルが形成される前に反応チャンバに提供される。いかなる特定の理論に拘束されないが、水素は、リガンド除去ステップに有益な効果を有する、つまり、残っているリガンドの一部を除去する又は膜の品質に他の有益な効果を有する。一部の実施形態では、H及びNは、反応チャンバに連続的に提供され、窒素及び水素含有プラズマ、原子又はラジカルは、必要な場合に、生成又は供給される。
一部の実施形態では、窒素含有プラズマは、水素含有種を含まない又は実質的に含まない。例えば、窒素含有プラズマは、ガスフリー又は実質的にガスフリーな水素含有種を用いて生成される。一部の実施形態では、SiN堆積全体は、水素フリーでなされる。しかし、一部の実施形態では、H種を含むプラズマは、高圧力ステップ中に使用されうる。
典型的には、例えば窒素プラズマを含む第2の反応物質は、約0.1秒から約10秒提供される。一部の実施形態では、窒素プラズマのような第2の反応物質は、約0.1秒から約10秒、約0.5秒から約5秒又は約0.5秒から約2.0秒提供される。しかし、反応タイプ、基板のタイプ及びその表面積に応じて第2の反応物質パルス時間は、約10秒を超えてもよい。一部の実施形態では、パージ時間は、分オーダーでありうる。最適なパルス時間は、特定の状況に基づいて当業者によって明示的に決定されうる。
一部の実施形態では、第2の反応物質は、2以上のパルスのいずれかの間に別の反応物質を導入せずに、2以上の異なるパルスで提供される。例えば、一部の実施形態では、窒素プラズマは、連続的なパルス間でSi前駆体を導入せずに、2以上、好ましくは2つの、連続的なパルスで提供される。一部の実施形態では、窒素プラズマの提供中に、2以上の連続的なプラズマパルスは、第1の期間にプラズマ放電を提供し、第2の期間、例えば、約0.1秒から約10秒、約0.5秒から約5秒又は約1.0秒から約4.0秒にプラズマ放電を消し、Si前駆体又はパージステップのような別の前駆体の導入又は除去ステップの前に、第3の期間再度励起することにより生成される。プラズマの追加のパルスも同様に導入されうる。一部の実施形態では、プラズマは、パルスの各々で均等な期間に点火される。
窒素プラズマは、一部の実施形態では、約10Wから約2000W、好ましくは約50Wから約1000W、より好ましくは約500Wから約1000WのRFパワーを印加することにより生成される。一部の実施形態では、RFパワー密度は、約0.02W/cmから約2.0W/cm、好ましくは約0.05W/cmから約1.5W/cmであってもよい。RFパワーは、窒素プラズマパルス時間中に流れる、反応チャンバを通じて連続的に流れる、及び/又はリモートプラズマ発生器を通じて流れる窒素に印加されてもよい。よって、一部の実施形態では、プラズマは、in situで生成され、別の実施形態では、プラズマは、リモート生成される。一部の実施形態では、シャワーヘッド型リアクタが用いられ、プラズマは、サセプタ(その上部に基板が配置される)とシャワーヘッドプレートとの間に生成される。一部の実施形態では、サセプタとシャワーヘッドプレートとの間の隙間は、約0.1cmから約20cm、約0.5cmから約5cm、又は約0.8cmから約3.0cmである。
完全に飽和し、以前に吸着した単分子層を窒素プラズマパルスと反応するために充分な期間の後、余剰な反応物質及び反応副生成物は、反応空間から除去される。第1の反応物質の除去と同様に、このステップは、反応空間から拡散し、かつ反応空間からパージされるために、反応種の生成を停止し、余剰な反応種及び揮発性反応副生成物に対して十分な期間に窒素又はアルゴンのような不活性ガスを流し続けることを含んでもよい。別の実施形態に係る別のパージガスが用いられてもよい。パージガスは、一部の実施形態では、約0.1秒から約10秒、約0.1秒から約4秒、又は約0.1秒から約0.5秒であってもよい。共に、窒素プラズマ提供及び除去は、窒化ケイ素原子層堆積サイクルにおいて、第2の、反応種段階を表す。
本開示の一部の実施形態によれば、PEALD反応は、上述したような例えば、約25℃から約700℃、約50℃から約600℃、約100℃から約600℃、約200℃から約600℃、約100℃から約450℃、又は約200℃から約400℃の範囲の温度で行われてもよい。一部の実施形態では、温度は、約300℃、約550℃又は約400から約500℃であってもよい。一部の実施形態では、最適なリアクタ温度は、最大許容熱履歴(maximum allowed thermal budget)によって制限されてもよい。したがって、一部の実施形態では、反応温度は、約300℃から約400℃である。一部の実施形態では、最大温度は、約400℃であり、したがって、PEALD処理は、当該反応温度で行われる。
一部の実施形態では、ワークピースの露出面は、反応サイトを提供し、PEALDサブサイクルの第1の段階と反応するために前処理されうる。一部の実施形態では、別の前処理ステップは行われない。一部の実施形態では、基板は、所望の表面終端を提供するために前処理される。一部の実施形態では、基板は、プラズマで前処理される。
一部の実施形態では、半導体ワークピース等の、堆積が望まれる基板は、リアクタへ搬入される。リアクタは、集積回路の形成で様々な異なる処理を実行するクラスタツールの一部であってもよい。一部の実施形態では、フロー型リアクタが使用される。一部の実施形態では、シャワーヘッド型のリアクタが使用される。一部の実施形態では、空間分割リアクタが使用される。一部の実施形態では、大量製造可能な枚葉式PEALDリアクタが用いられる。別の実施形態では、複数の基板を含むバッチリアクタが用いられる。バッチPEALDリアクタが用いられる実施形態について、基板の数は、10から200の範囲が好ましく、50から150の範囲がより好ましく、100から130の範囲が最も好ましい。
エンハンスPEALDに特化して設計された例示的な枚葉式リアクタは、Pulsar(商標) 2000及びPulsar(商標) 3000の商標でASM America, Inc(アリゾナ州、フェニックス)、及びEagle(商標) XP, XP8及びDragon(商標)の商標でASM Japan K.K(東京、日本)から商業的に取得可能である。エンハンスPEALDに特化して設計された例示的なバッチPEALDリアクタは、A400(登録商標)及びA412(登録商標)の商標でASM Europe B.V(アルメレ、オランダ)から商業的に取得可能である。
高圧力トリートメントサブサイクル
本明細書に記載されるように、一部の実施形態によれば、SiN薄膜を形成する処理は、従来の圧力で行われる1以上のSiN堆積サイクルと、1以上の高圧トリートメントサブサイクルと、を含みうる。本明細書で用いられるように、高圧力トリートメントサブサイクルは、サブサイクルの少なくとも一部に対して、少なくとも約7Torr、少なくとも約20Torr、約30Torr、約40Torr又は約50Torrを含む、少なくとも6Torrの処理圧力を含むトリートメントサブサイクルをいう。一部の実施形態では、高圧力トリートメントサブサイクルは、少なくとも約20Torrの処理圧力で行われるプラズマステップを含む。例えば、プラズマステップ中に基板がさらされる反応チャンバ内の圧力は、プラズマステップの少なくとも一部に対して少なくとも約30Torr、約40Torr又は約50Torrを含む少なくとも約20Torrであってもよい。一部の実施形態では、プラズマステップ中に基板がさらされる反応チャンバ内の圧力は、約50Torrまで、約100Torrまで、又は約500Torrまでであってもよい。例えば、反応チャンバ内の圧力は、プラズマステップ全体又は実質的にプラズマステップ全体に対して、約6Torrから約50Torr、約20Torrから約50Torr、約6Torrから約500Torr、又は約20Torrから約500Torrでありうる。一部の実施形態では、高圧力トリートメントサブサイクルにおけるプラズマステップの処理圧力は、約30Torrから約500Torr、約40Torrから約500Torr、約50Torrから約500Torr、約6Torrから約100Torr、約20Torrから約100Torr、約30Torrから約100Torr、約20Torrから約50Torr、又は約20Torrから約30Torrでありうる。
一部の実施形態では、高圧力トリートメントサブサイクルにおける1以上のプラズマステップは、水素イオンフリー又は実質的にフリーでありうる(例えば、H及び/又はH3+イオン)。例えば、水素含有ガス(例えば、水素(H)ガス)は、1以上のプラズマステップ中に反応チャンバに流れない又は実質的に流れない。エネルギー水素イオンがない又は実質的にないプラズマステップを含む高圧力トリートメントサブサイクルは、有利に、堆積された窒化ケイ素の基板からの剥離を低減又は防止する。一部の実施形態では、水素含有ガスは、高圧力トリートメントサブサイクルを通して反応チャンバに流れない又は実質的に流れない。
一部の代替の実施形態では、高圧力トリートメントサブサイクルにおける1以上のプラズマステップは、水素含有種を含みうる。例えば、1以上のプラズマステップは、水素含有成分から生成されたプラズマを含みうる。
一部の実施形態では、高圧力トリートメントサブサイクルにおけるプラズマステップに対するプラズマパワーは、約100ワット(W)から約1,500W、好ましくは約200Wから約1,000W、より好ましくは約500Wから約1,000Wでありうる。例えば、高圧力トリートメント処理は、約800Wのプラズマパワーを有してもよい。
一部の実施形態では、SiNを堆積するためのPEALD処理は、容量結合された平行板により生成されるプラズマを用いて行われることができ、これは、基板上に異方性イオン衝撃を生成し、例えば、水平面及び垂直面上に非均一な特性を有する膜を提供する。例えば、基板上面及び側壁面上の膜厚及び膜品質は、非常に異なっている。膜厚及び膜品質の不均一は、SiNの堆積時に基板の三次元構造上の再入(re−entrant)プロファイルの形成で更に促進され、再入(re−entrant)プロファイルは、イオン衝撃から側壁部分(例えば、トレンチ構造の側壁部分)を遮断する。一部の実施形態では、1以上の窒化ケイ素堆積サブサイクル後の高圧力トリートメントサブサイクルの1以上を行うことは、垂直面及び水平面に形成される膜の膜特性での所望の均一性を有するSiN薄膜を提供しうる。
図3A及び3Bは、高圧力のプラズマにより生成されるイオンと比較した低圧力のプラズマで生成されたイオンにより示されるイオン入射角の概略的な例を示す。本明細書で用いられるように、イオン入射角値Θ及びΘは、イオン入射角の半値全幅(full width at half maximum(FWHM))分布である。本明細書に記載されるように、高圧力プラズマステップの処理圧力は、約6Torrより高くなることができ、例えば、約30Torrから約100Torrを含み、約6Torrから約50Torr、約20Torrから約50Torr、約6Torrから約500Torr、又は約20Torrから約500Torrであることができる。一部の実施形態では、低圧力プラズマステップの処理圧力は、6Torr未満であることができ、例えば、約0.1Torrから約5Torrであることができる。図3Aは、低圧力プラズマで生成されたイオンのイオン入射角Θの一例を示し、図3Bは、高圧力プラズマで生成されたイオンのイオン入射角Θの一例を示す。イオン入射角Θは、イオン入射角Θより大きくなりうる。例えば、高圧力プラズマは、基板に亘るプラズマシース領域で多数のイオン衝突を生成し、基板の垂直面上の増加したイオン入射角を提供する。
一部の実施形態では、プラズマステップの条件は、約50°より大きい、又は約75°より大きい角度を含む、約20°より大きいイオン入射角の値を提供するように選択される。一部の実施形態では、このような入射角の値は、約2より大きいアスペクト比、約3より大きいアスペクト比、約5より大きいアスペクト比、一部の実施形態では、約8より大きいアスペクト比を有する三次元構造で実現される。
上昇圧力レジームで行われるプラズマステップは、三次元構造の水平面に形成された膜(例えば、上面)と、三次元構造の垂直面に形成された膜との間の特徴で所望の均一性を有するコンフォーマルなSiN薄膜の形成を有利に促進する。一部の実施形態では、増加したイオン入射角は、三次元構造の水平面及び垂直面に形成されるSiN膜のウェットエッチング速度及び/又は膜厚での改善された均一性を有利に提供しうる。一部の実施形態では、増加したイオン入射角は、水平面及び垂直面に形成される膜間の膜密度及び/又は不純物レベルでの所望の均一性を有するSiN薄膜を有利に提供しうる。
一部の実施形態では、高圧力トリートメントサブサイクルは、例えば、基板が、プラズマラジカルがない空間又は実質的にない空間に搬送される1以上のステップ、及び/又は1以上のパージステップのような、基板がプラズマに露出されない間の1以上のステップを含みうる。一部の実施形態では、パージステップは、高圧力トリートメントサブサイクルでのプラズマステップに先行しうる。一部の実施形態では、パージステップは、高圧力トリートメントサブサイクルでのプラズマステップに続きうる。一部の実施形態では、高圧力トリートメントサブサイクルでのプラズマステップは、パージステップに先行される及び続かれるの両方である。例えば、高圧力トリートメントサブサイクルは、第1のパージステップと、それに続くプラズマステップと、プラズマステップに続く第2のパージステップと、を含んでもよい。
一部の実施形態では、パージステップのためのパージガスは、キャリアガスを含む。一部の実施形態では、パージステップのためのパージガスは、高圧力トリートメントサブサイクルのプラズマステップで用いられる窒素含有ガスを含む。一部の実施形態では、キャリアガス及び窒素含有ガスは、高圧力トリートメントサブサイクルを通じて連続的に流れうる。例えば、キャリアガス及び窒素含有ガスの流れは、第1のパージステップに対して開始されうる。キャリアガス及び窒素含有ガスの流れは、後続のプラズマステップ時、及びプラズマパワーがオン時に維持されてもよい又は実質的に維持されてもよい。プラズマパワーは、所望の期間後にオフになってもよく、キャリアガス及び窒素含有ガスの流れは、プラズマパワーがオフされた後、及びプラズマステップに続く第2のパージステップ時に維持されうる。
一部の実施形態では、高圧力トリートメントサブサイクルの処理圧力は、プラズマステップの前にパージステップ時に増加し、プラズマステップに続くパージステップで減少してもよい。例えば、反応チャンバの圧力は、プラズマステップが所望の処理圧力で開始するように、パージステップ時に、後続のプラズマステップまで上昇されてもよい。所望の処理圧力は、プラズマステップ時に維持される又は実質的に維持される。反応チャンバ圧力は、その後、プラズマステップに続くパージステップ時に低圧力に下降される。一部の実施形態では、高圧力トリートメントサブサイクルの処理圧力は、サブサイクルのプラズマステップのために所望の処理圧力で維持されうる。
一部の実施形態では、プラズマステップに続くパージステップは、後続の窒化ケイ素堆積サブサイクルの第1のステップで用いられる1以上のガスの流れを含みうる。例えば、高圧力トリートメントサブサイクルのプラズマステップの後、かつ窒化ケイ素サブサイクルの前に行われるパージステップは、後続の窒化ケイ素サブサイクルの第1のステップで用いられる1以上のガスの流れを含みうる。一部の実施形態では、パージステップは、水素ガス(H)の流れを含みうる。例えば、パージステップは、水素ガス(H)の流れが、窒化ケイ素堆積サブサイクルの第1のステップのために当該速度で維持される又は実質的に維持されるように、後続の窒化ケイ素堆積サブサイクルステップのために用いられる速度で水素ガス(H)の流れを含みうる。例えば、パージステップは、水素ガス(H)と共に、キャリアガス及び窒素含有ガス(例えば、Nガス)の流れを含みうる。
図4A及び4Bは、窒化ケイ素堆積サブサイクル及び高圧力トリートメントサブサイクルのための各種処理パラメータのタイミング図の例を示す。図4Aに示される窒化ケイ素堆積サブサイクルでは、窒化ケイ素堆積サブサイクルは、PEALD型処理を含みうる。例えば、窒化ケイ素堆積サブサイクルは、ケイ素前駆体ステップ(例えば、反応チャンバへの1以上のケイ素前駆体の流れ)と、それに続くパージステップと、窒素ガス(N)及び水素ガス(H)の流れを含むプラズマステップと、別のパージステップと、を含んでもよい。窒化ケイ素堆積サブサイクルは、(例えば、1以上のケイ素前駆体のパルスにより)1以上のケイ素前駆体及び(例えば、プラズマステップの適用により)1以上の窒素反応物質と基板を交互かつ連続的に接触することを含んでもよい。プラズマステップのために用いられるキャリアガス及び1以上のガス(例えば、窒素ガス(N)及び水素ガス(H))の流れは、サブサイクルの期間に続けられうる。本明細書に記載されるように、窒化ケイ素堆積サブサイクルは、高圧力トリートメント処理で用いられるものよりも非常に低い処理圧力で行われうる。
図4Aに示されるように、ケイ素前駆体ステップは、1以上のケイ素前駆体の流れを開始及びその後に停止すること(例えば、1以上のケイ素前駆体をパルスすること)を含みうる。ケイ素前駆体は、例えば、基板への1以上のケイ素前駆体の伝達を容易にするために、キャリアガスの流れも含んでもよい。一部の実施形態では、キャリアガスは、Arである、又はArを含む。ケイ素前駆体ステップは、窒素ガス(N)及び水素ガス(H)の流れを含んでもよい。一部の実施形態では、窒素ガス(N)及び水素ガス(H)は、窒化ケイ素堆積サブサイクルを通して連続的に又は実質的に連続的に流されうる。
ケイ素前駆体ステップは、基板の近傍から余剰なケイ素前駆体を除去するために、第1のパージステップに続いてもよい。第1のパージステップは、キャリアガス並びに窒素ガス(N)及び水素ガス(H)の流れを含んでもよい。図4Aに示されるように、ケイ素前駆体は、パージステップ時に流されないが、キャリアガス、窒素ガス(N)及び水素ガス(H)の流れは、継続されうる。例えば、キャリアガス並びに窒素ガス(N)及び水素ガス(H)の流れは、ケイ素前駆体で用いられる、流される速度での第1のパージステップを通して維持される又は実質的に維持されうる。
第1のパージステップは、プラズマステップに続きうる。図4Aに示されるように、キャリアガスは、窒素反応物質が、吸着されたケイ素前駆体と反応しうるように、例えば基板への1以上の窒素反応物質の伝達を容易にするために、プラズマステップ時に流されてもよい。プラズマステップは、キャリアガス、並びに窒素ガス(N)及び水素ガス(H)を流している間に、プラズマをオン及びオフにすることを含みうる。例えば、第1のパージステップが行われた後、プラズマステップは、第1のパージステップ時に用いられた速度で、キャリアガス並びに窒素ガス(N)及び水素ガス(H)を維持又は実質的に維持しつつ、プラズマを打つことを含んでもよい。プラズマステップは、N*、H*、NH*及び/又はNH*ラジカルを含むプラズマを生成するように構成されうる。
プラズマパワーは、所望のプラズマが提供された後にオフになり、第2のプラズマステップが続きうる。図4Aの例示されるように、キャリアガス並びに窒素ガス(N)及び水素ガス(H)の流れは、余剰な反応物質及び/又は反応副生成物を除去するために、第2のパージステップ時に継続されうる。例えば、キャリアガス並びに窒素ガス(N)及び水素ガス(H)の流れは、プラズマステップで用いられる速度で、第2のパージステップ時に維持されてもよい。一部の実施形態では、キャリアガス並びに窒素ガス(N)及び水素ガス(H)の流れは、窒化ケイ素堆積サブサイクルを通してどういつの速度で維持される又は実質的に維持されてもよい。
図4Bは、高圧力トリートメントサブサイクルのための各種処理パラメータのタイミング図を示す。図4Bに示されるように、ケイ素前駆体は、高圧力トリートメントサブサイクル時に提供されない。図4Bに示される例によれば、高圧力トリートメントサブサイクルは、第1のパージステップと、それに続くプラズマステップと、第2のパージステップと、を含んでもよい。第1のパージステップは、キャリアガス及び窒素ガス(N)の流れを含んでもよい。水素ガス(H)の流れは、例えば、水素ガス(H)が、直前の窒化ケイ素サブサイクルでのステップに対して流される場合、水素イオン(例えば、H及び/又はH3+イオン)がない又は実質的にない高圧力トリートメントサブサイクルが提供されうるように、第1のパージステップ時にオフにされうる。処理圧力は、第1のパージステップ時に増加されてもよい。例えば、処理圧力は、初めの低い圧力(例えば、直前の窒化ケイ素堆積サブサイクル又は直前の高圧力トリートメントサブサイクルの圧力)から、後続のプラズマステップの所望の圧力へ上昇されてもよい。
高圧力トリートメントサブサイクルにおける第1のパージステップは、プラズマステップに続きうる。窒素ガス(N)及びキャリアガスの流れは、プラズマステップ時に継続されうる。例えば、プラズマステップでは、プラズマパワーは、窒素ガス(N)及びキャリアガスが第1のパージステップ時に流される速度で流される間に提供される。窒素ガス(N)は、非反応イオンを含むプラズマを生成するために用いられうる。プラズマは、基板の所望の露出後にオフにされ、第2のパージステップが行われうる。
窒素ガス(N)及びキャリアガスは、第2のパージステップ時に継続されうる。例えば、窒素ガス(N)及びキャリアガスは、プラズマステップで用いられる速度で維持されうる。一部の実施形態では、窒素ガス(N)及びキャリアガスの流れは、高圧力トリートメントサブサイクルを通して同一速度で維持される又は実質的に維持されうる。処理圧力は、第2のパージステップ時に低減されてもよい。例えば、基板が露出される反応チャンバ内の圧力は、第2のパージステップ時に、プラズマステップの処理圧力から低い圧力へ下降されてもよい。
一部の実施形態では、図4Bに示されるように、水素ガス(H)は、第2のパージステップ中にオンにされてもよい。例えば、水素ガス(H)は、高圧力トリートメントサブサイクルが、水素ガス(H)の流れを含む窒化ケイ素堆積サブサイクルの直後である場合に、オンにされてもよい。
一部の実施形態では、SiN薄膜を形成するための処理は、複数のスーパーサイクルを含んでもよく、各スーパーサイクルは、図4Aの窒化ケイ素堆積サブサイクルの複数回の繰り返しと、それに続く図4Bの高圧力トリートメントサブサイクルの複数回の繰り返しと、を含む。複数回のスーパーサイクル、窒化ケイ素堆積サブサイクル、及び/又は高圧力トリートメントサブサイクルは、本明細書に記載されるような、1以上の所望の特性を有するSiN薄膜を形成するために選択されうる。
Si前駆体
一部の実施形態では、SiN薄膜を堆積するSi前駆体は、ハロゲン化シリルを含む。一部の実施形態では、Si前駆体は、ヨウ素を含む。特定の実施形態では、Si前駆体は、HSiIである。
SiNを堆積するケイ素前駆体の実施例は、2014年1月29日に出願された発明の名称「Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES」の米国特許出願第14/167,904号で提供され、その全体が参照により本明細書に援用される。
一部の実施形態では、Si前駆体は、ヨウ素と、1以上の有機リガンドのような1以上のリガンドと、を含む。一部の実施形態では、Si前駆体は、ヨウ素と、メチル基、エチル基、プロピル基及び/又は水素のような1以上のアルキル基と、を含んでもよい。一部の実施形態では、Si前駆体は、ヨウ素と、臭素又は塩素のような1以上の他のハロゲン化物と、を含む。
一部の実施形態では、ケイ素前駆体は、ケイ素と結合された、3つのヨウ素及び1つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiI)NH, (SiI)NHMe, (SiI)NHEt, (SiI)NHPr, (SiI)NHBu, (SiI)NMe, (SiI)NMeEt, (SiI)NMePr, (SiI)NMeBu, (SiI)NEt, (SiI)NEtPr, (SiI)NEtBu, (SiI)NPr, (SiI)NPrBu及び(SiI)NBu2。一部の実施形態では、ケイ素前駆体は、(SiI)NH, (SiI)NHMe, (SiI)NHEt, (SiI)NHPr, (SiI)NHBu, (SiI)NMe, (SiI)NMeEt, (SiI)NMePr, (SiI)NMeBu, (SiI)NEt, (SiI)NEtPr, (SiI)NEtBu, (SiI)NPr, (SiI)NPrBu, (SiI)NBu及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。一部の実施形態では、ケイ素前駆体は、ケイ素と結合された、2つのヨウ素及び2つのアミン又はアルキルアミンリガンドと、を含む。一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiI)(NH, (SiI)(NHMe), (SiI)(NHEt), (SiI)(NHPr), (SiI)(NHBu), (SiI)(NMe, (SiI)(NMeEt), (SiI)(NMePr), (SiI2)(NMeBu), (SiI)(NEt, (SiI)(NEtPr), (SiI)(NEtBu), (SiI)(NPr, (SiI)(NPrBu)及び(SiI)(NBu)。一部の実施形態では、ケイ素前駆体は、(SiI)(NH, (SiI)(NHMe), (SiI)(NHEt), (SiI)(NHPr), (SiI)(NHBu), (SiI)(NMe, (SiI)(NMeEt), (SiI)(NMePr), (SiI2)(NMeBu), (SiI)(NEt, (SiI)(NEtPr), (SiI)(NEtBu), (SiI)(NPr, (SiI)(NPrBu), (SiI)(NBu)及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
特定の実施形態では、ケイ素前駆体は、ケイ素と結合された、2つのヨウ素、水素及び1つのアミン又はアルキルアミンリガンド又は2つのヨウ素及び2つのアルキルアミンリガンドを含み、アミン又はアルキルアミンリガンドは、アミンNH−、メチルアミンMeNH−、ジメチルアミンMeN−、エチルメチルアミンEtMeN−及びジエチルアミンEtN−から選択される。一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiIH)NH, (SiIH)NHMe, (SiIH)NHEt, (SiIH)NMe, (SiIH)NMeEt, (SiIH)NEt, (SiI)(NH, (SiI)(NHMe), (SiI)(NHEt), (SiI)(NMe, (SiI)(NMeEt), 及び(SiI)(NEt。一部の実施形態では、ケイ素前駆体は、(SiIH)NH, (SiIH)NHMe, (SiIH)NHEt, (SiIH)NMe, (SiIH)NMeEt, (SiIH)NEt, (SiI)(NH, (SiI)(NHMe), (SiI)(NHEt), (SiI)(NMe, (SiI)(NMeEt), (SiI)(NEt及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:SiI, HSiI, H2SiI, HSiI, Si, HSi, HSi, HSi, HSi, H5Si2I, Si3I8, HSi2I5, H2Si2I4, H3Si2I3, H4Si2I2, H5Si2I, MeSiI3, Me2SiI2, Me3SiI, MeSi2I5, Me2Si2I4, Me3Si2I3, Me4Si2I2, Me5Si2I, HMeSiI2, HMe2SiI, HMeSi2I4, HMe2Si2I3, HMe3Si2I2, HMe4Si2I, H2MeSiI, H2MeSi2I3, H2Me2Si2I2, H2Me3Si2I, H3MeSi2I2, H3Me2Si2I, H4MeSi2I, EtSiI3, Et2SiI2, Et3SiI, EtSi2I5, Et2Si2I4, Et3Si2I3, Et4Si2I2, Et5Si2I, HEtSiI2, HEt2SiI, HEtSi2I4, HEt2Si2I3, HEt3Si2I2, HEt4Si2I, H2EtSiI, H2EtSi2I3, H2Et2Si2I2, H2Et3Si2I, H3EtSi2I2, H3Et2Si2I, 及びH4EtSi2I。
一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:EtMeSiI2, Et2MeSiI, EtMe2SiI, EtMeSi2I4, Et2MeSi2I3, EtMe2Si2I3, Et3MeSi2I2, Et2Me2Si2I2, EtMe3Si2I2, Et4MeSi2I, Et3Me2Si2I, Et2Me3Si2I, EtMe4Si2I, HEtMeSiI, HEtMeSi2I3, HEt2MeSi2I2, HEtMe2Si2I2, HEt3MeSi2I, HEt2Me2Si2I, HEtMe3Si2I, H2EtMeSi2I2, H2Et2MeSi2I, H2EtMe2Si2I, H3EtMeSi2I。
一部の実施形態では、ケイ素前駆体は、ケイ素と結合された、1つのヨウ素、1つの水素及び2つのアミン又はアルキルアミンを含む。一実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2及び(SiIH)(NtBu)2。一部の実施形態では、ケイ素前駆体は、(SiIH)(NH2)2, (SiIH)(NHMe)2, (SiIH)(NHEt)2, (SiIH)(NHiPr)2, (SiIH)(NHtBu)2, (SiIH)(NMe2)2, (SiIH)(NMeEt)2, (SiIH)(NMeiPr)2, (SiIH)(NMetBu)2, (SiIH)(NEt2)2, (SiIH)(NEtiPr)2, (SiIH)(NEttBu)2, (SiIH)(NiPr2)2, (SiIH)(NiPrtBu)2及び(SiIH)(NtBu)2及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、ケイ素前駆体は、ケイ素と結合された、1つのヨウ素、2つの水素及び1つのアミン又はアルキルアミンリガンドを含む。一実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NtPrtBu及び(SiIH2)NtBu2。一部の実施形態では、ケイ素前駆体は、(SiIH2)NH2, (SiIH2)NHMe, (SiIH2)NHEt, (SiIH2)NHiPr, (SiIH2)NHtBu, (SiIH2)NMe2, (SiIH2)NMeEt, (SiIH2)NMeiPr, (SiIH2)NMetBu, (SiIH2)NEt2, (SiIH2)NEtiPr, (SiIH2)NEttBu, (SiIH2)NiPr2, (SiIH2)NiPrtBu, (SiIH2)NtBu2及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
一部の実施形態では、ケイ素前駆体は、ケイ素と結合された、1つのヨウ素及び3つのアミン又はアルキルアミンリガンドを含む。一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiI)(NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, 及び(SiI)(NtBu)3。一部の実施形態では、ケイ素前駆体は、(SiI) (NH2)3, (SiI)(NHMe)3, (SiI)(NHEt)3, (SiI)(NHiPr)3, (SiI)(NHtBu)3, (SiI)(NMe2)3, (SiI)(NMeEt)3, (SiI)(NMeiPr)3, (SiI)(NMetBu)3, (SiI)(NEt2)3, (SiI)(NEtiPr)3, (SiI)(NEttBu)3, (SiI)(NiPr2)3, (SiI)(NiPrtBu)3, (SiI)(NtBu)3及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、13、14、15又はそれ以上の化合物を含む。
特定の実施形態では、ケイ素前駆体は、ケイ素と結合された、2つのヨウ素、水素及び1つのアミン又はアルキルアミンリガンド又は2つのヨウ素及び2つのアルキルアミンリガンドを含み、アミン又はアルキルアミンリガンドは、NH2—、メチルアミンMeNH—、ジメチルアミンMe2N—、エチルメチルアミンEtMeN—、エチルアミンEtNH—及びジエチルアミンEt2N—から選択される。一部の実施形態では、ケイ素前駆体は、以下の1以上を含む:(SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2及び(SiI2)(NEt2)2。一部の実施形態では、ケイ素前駆体は、(SiI2H)NH2, (SiI2H)NHMe, (SiI2H)NHEt, (SiI2H)NMe2, (SiI2H)NMeEt, (SiI2H)NEt2, (SiI2)(NH2)2, (SiI2)(NHMe)2, (SiI2)(NHEt)2, (SiI2)(NMe2)2, (SiI2)(NMeEt)2, (SiI2)(NEt2)2及びその組み合わせから選択される2、3、4、5、6、7、8、9、10、11、12、又はそれ以上の化合物を含む。
N前駆体
上記で説明されたように、本開示に係る窒化ケイ素を堆積するための第2の反応物質は、窒素前駆体を含んでもよく、これは、反応種を含んでもよい。PEALD処理の適切なプラズマ組成は、窒素プラズマ、窒素のラジカル、又は1つの形態又は別の形態の原子窒素を含む。一部の実施形態では、水素プラズマ、水素のラジカル、又は1つの形態又は別の形態の原子水素も提供される。そして、一部の実施形態では、プラズマは、He、Ne、Ar、Kr及びXeのような希ガス、好ましくは、ラジカルのようなプラズマ形態又は原子形態での、Ar又はHeも含んでもよい。一部の実施形態では、第2の反応物質は、Arのような希ガスからの種を含まない。よって、一部の実施形態では、プラズマは、希ガスを含むガスでは生成されない。
よって、一部の実施形態では、第2の反応物質は、NH及びNのようなN及びHの両方を有する化合物、N/Hの混合物又はN−H結合を有する他の前駆体から形成されたプラズマを含んでもよい。一部の実施形態では、第2の反応物質は、Nから少なくとも部分的に形成されてもよい。一部の実施形態では、第2の反応物質は、N及びHから少なくとも部分的に形成されてもよく、N及びHは、約20:1から約1:20、好ましくは約10:1から約1:10、より好ましくは約5:1から約1:5、最も好ましくは約1:2から約4:1、一部の場合には1:1のフロー比(N/H)で提供される。例えば、窒化ケイ素を堆積するための窒素含有プラズマは、本明細書で説明される1以上の比で、N及びHの両方を用いて生成されうる。
一部の実施形態では、窒素プラズマは、水素含有種(例えば、水素イオン、ラジカル、原子水素)がない又は実質的になくてもよい。例えば、水素含有ガスは、窒素プラズマを生成するために用いられない。一部の実施形態では、水素含有ガス(例えば、Hガス)は、窒素プラズマステップ中に反応チャンバに流れない。
一部の実施形態では、窒素含有プラズマを生成するために用いられるプラズマパワーは、約10ワット(W)から約2,000W、約50Wから約1000W、約100Wから約1000W又は約500Wから約1000Wでありうる。一部の実施形態では、窒素含有プラズマを生成するために用いられるプラズマパワーは、約800Wから約1,000Wでありうる。
第2の反応物質は、一部の実施形態では、基板又は反応種から離れるプラズマ放電(「リモートプラズマ」)を介して遠隔的に形成されてもよい。一部の実施形態では、第2の反応物質は、基板の近傍又は基板上に直接(「ダイレクトプラズマ」)的に形成されてもよい。
SiN膜特性
本明細書で説明される実施形態の一部に係る堆積された窒化ケイ素薄膜は、約3at−%未満、好ましくは約1at−%未満、より好ましくは約0.5at−%未満、最も好ましくは約0.1at−%未満の不純物レベル又は濃度を実現してもよい。一部の薄膜では、水素を除く総不純物レベルは、約5at−%未満、好ましくは約2at−%未満、より好ましくは約1at−%未満、最も好ましくは約0.2at−%未満であってもよい。そして、一部の薄膜では、水素レベルは、約30at−%未満、好ましくは約20at−%未満、より好ましくは約15at−%未満、最も好ましくは約10at−%未満であってもよい。
一部の実施形態では、堆積されたSiN膜は、大量の炭素を含まない。しかし、一部の実施形態では、炭素を含むSiN膜が堆積される。例えば、一部の実施形態では、ALD反応は、炭素を含むケイ素前駆体を用いて行われ、炭素を含む薄い窒化ケイ素膜が堆積される。一部の実施形態では、炭素を含むSiN膜は、アルキル基又は他の炭素含有リガンドを含む前駆体を用いて堆積される。Me又はEtのような異なるアルキル基、又は他の炭素含有リガンドは、異なる反応メカニズムのため、炭素濃度が異なる膜を生成してもよい。よって、異なる前駆体は、異なる炭素濃度の堆積されたSiN膜を生成するために選択されうる。一部の実施形態では、所望の誘電定数を揺する炭素を含むSiN膜が堆積されうる。一部の実施形態では、炭素を含む薄いSiN膜は、例えば、low−kスペーサーとして用いられてもよい。一部の実施形態では、薄膜は、アルゴンを含まない。
一部の実施形態によれば、窒化ケイ素薄膜は、約50%より大きい、好ましくは約80%より大きい、より好ましくは約90%より大きい、及び最も好ましくは約95%より大きいステップカバレッジ及びパターンローディング効果を示してもよい。一部の場合には、ステップカバレッジ及びパターンローディング効果は、約98%より大きく、一部の場合には、約100%(測定ツール又は方法の精度内で)でありうる。これらの値は、2以上のアスペクト比一部の実施形態では、約3以上のアスペクト比、一部の実施形態では、約5以上のアスペクト比、一部の実施形態では、約8以上のアスペクト比を有する構成で実現されることができる。
本明細書で用いられる「パターンローディング効果(pattern loading effect)」は、この分野のその通常の意味に従って用いられる。パターンローディング効果は、本明細書で用いられるときに用語パターンローディング効果を示さずに、不純物内容、密度、電気特性及びエッチング速度に対して見られてもよいが、構造が存在される基板上の領域の膜の厚さの変化を示す。よって、パターンローディング効果は、オープンフィールドに面する三次元構造/フィーチャーの側壁又は底部上の膜厚に対する、三次元構造の内側のフィーチャーの側壁又は底部における膜厚として与えられうる。本明細書で用いられるように、100%のパターンローディング効果(又は1の比)は、構成にかかわらず、基板を通して完全に均一な膜特性について表す、つまり、言い換えれば、パターンローディング効果がない(フィーチャーの厚さvs.オープンフィールド等のような特定の膜特性での不一致)。
一部の実施形態では、窒化ケイ素膜は、約3nmから約50nm、好ましくは約5nmから約30nm、より好ましくは約5nmから約20nmの厚さに堆積される。これらの厚さは、約100nm、好ましくは約50nm、より好ましくは約30nm、最も好ましくは約20nm、及び一部の場合には、約15nm未満のフィーチャーサイズ(幅)で実現されうる。一部の実施形態によれば、SiN膜は、三次元構造上に堆積され、側壁での厚さは、10nmよりもわずかに大きくてもよい。
一部の実施形態によれば、様々なウェットエッチング速度(wet etch rates(WER))で窒化ケイ素膜が堆積されてもよい。0.5%dHF(nm/min)のブランケットWERを用いるとき、窒化ケイ素膜は、約5未満、好ましくは約4未満、より好ましくは約2未満、最も好ましくは約1未満のWER値を有してもよい。一部の実施形態では、これは、約0.3未満でありうる。
熱酸化のWERに対する0.5%dHF(nm/min)のブランケットWERは、約3未満、好ましくは約2未満、より好ましくは約1未満、最も好ましくは約0.5未満であってもよい。
そして、一部の実施形態では、0.5%dHFにおいて、フィン又はトレンチのような三次元構造の上部領域WERに対する、フィン又はトレンチのような三次元構造の側壁WERは、約4未満、好ましくは約3未満、より好ましくは約2未満、最も好ましくは約1未満であってもよい。
一部の実施形態では、本明細書で説明される1以上のプロセスに従って形成されるSiNは、例えば、0.5%dHFにおいて、約1のWERRを有利に示しうる。例えば、基板上の三次元構造の垂直面(例えば、側壁面)に亘って形成されるSiN薄膜のウェットエッチング速度に対する水平面(例えば、上面)に亘って形成されるSiN薄膜のウェットエッチング速度の比は、同一又は実質的に同一でありうる。一部の実施形態では、当該比は、約0.25から約2、約0.5から約1.5、約0.75から約1.25、又は約0.9から約1.1でありうる。これらの比は、約2以上、約3以上、約5以上又は約8以上のアスペクト比を有する構成で実現されうる。
本開示の窒化ケイ素薄膜を用いる際に、上部と側部との厚さの差は、改善された膜品質及びエッチング特性により、一部の用途に対して決定的でなくてもよいことがわかる。それにもかかわらず、一部の実施形態では、側壁に沿う厚さ勾配は、その後の用途又は処理にとって非常に重要である。
一部の実施形態では、本開示に係る窒化ケイ素のエッチングの量は、0.5%のHF−浸漬処理において、熱SiO(TOX)について観察されるエッチング量の約1又は2倍未満であってもよい(例えば、本明細書に開示される方法により堆積されるとき、約2から約3nmTOXが除去される処理において、1又は2倍未満のSiNが除去される)。好ましい窒化ケイ素膜のWERは、従来の熱酸化膜のもの未満であってもよい。
図5Aから5Cは、三次元トレンチ構造に亘って堆積されるSiN薄膜の希釈HF(0.5重量%水溶液)における例示的なウェットエッチング速度性能を示すウェットエッチング速度(WER)曲線である。膜は、ケイ素前駆体としてHSiIを用い、反応性窒素含有種を生成するためにN及びHガスを用いて、PEALD処理を用いて堆積した。ウェットエッチング速度は、y軸にnanometers per minute(nm/min)で示され、Si薄膜の堆積に用いられるプラズマパワーは、x軸にワット(W)で示される。図5Aから5CのSiN膜は、アスペクト比約3を有するトレンチ構造に堆積された。
図5A及び5BのSiN膜は、約350パスカル(Pa)の処理圧力でPEALD処理を用いて堆積された。WER曲線502は、約350Paの処理圧力を用いてトレンチ構造の上面に形成されるSiN膜の一部のエッチング性能を示す。WER曲線502は、約350Paの処理圧力を用いてトレンチ構造の側壁に形成されるSiN膜の一部のエッチング性能を示す。図5Bは、図5Aに示されるWER曲線502,504の一部を示す。
図5A及び5Bは、約350Paの処理圧力で堆積されるSiN膜のウェットエッチング速度のRFパワー依存性を示す。例えば、約600W未満のRFパワーにおいてトレンチ構造の上面に堆積されたSiN膜の一部は、トレンチの側壁面に形成されたものよりも優れたウェットエッチング速度性能を示した。一部の実施形態では、ウェットエッチング速度性能のこのような差は、上面のものよりも、トレンチの側壁面でのイオン衝撃が少ないためである。約350Paの処理圧力を用いて堆積されたSiN膜では、側壁面に形成される膜の一部のウェットエッチング速度は、堆積処理において増加されたRFパワーにより改善したが、上面に形成された膜の一部のものは劣化した。一部の実施形態では、側壁面に形成される膜のウェットエッチング速度のこのような改善は、少なくとも部分的に、高いRFパワー処理において、増加したイオン種の密度によるものであってもよい。一部の実施形態では、上面に形成される膜のウェットエッチング速度の劣化は、少なくとも部分的に、高いRFパワーでの上面上の膜品質の低下、例えば、イオン衝撃に対する上面の過露出によるものであってもよい。
図5CのSiN膜は、約3000パスカル(Pa)の処理圧力で行われたPEALD処理を用いて堆積された。WER曲線506は、約3000Paの処理圧力を用いてトレンチ構造の上面に形成されるSiN膜の一部のエッチング性能を示す。WER曲線508は、約3000Paの処理圧力を用いてトレンチ構造の側壁面に形成されるSiN膜の一部のエッチング性能を示す。
図5Cに示されるように、高い圧力のPEALD処理で用いられる高いRFパワーは、側壁面に形成されるSiN膜のウェットエッチング性能を改善したが、トレンチの上面に形成されるSiN膜の所望のウェットエッチング性能は維持されなかった。一部の実施形態では、高い圧力の処理は、プラズマ種の衝突を増加することによるイオン衝撃の異方性による膜品質の効果を低減する。図5Cに示されるように、高い圧力の処理を用いることにより、上面及び側壁面の両方に形成される膜の所望の膜ウェットエッチング速度性能を提供してもよい。例えば、上面及び側壁面に形成されるSiN膜部分のウェットエッチング速度は、約0.50nm/minから約0.32nm/minに改善されうる。
図6A及び6Bは、それぞれ、dHF100:1ウェットエッチング溶液への5分間の浸漬への露出前後のトレンチ構造に形成されるSiN膜の断面視を示す走査電子顕微鏡(SEM)画像である。図6A及び6BのSiN膜は、上記の図5Aを参照して説明される処理に基づいて形成された。
図6C及び6Dは、それぞれ、dHF100:1ウェットエッチング溶液への5分間の浸漬への露出前後のトレンチ構造に形成されるSiN膜の断面視を示すSEM画像であり、SiN膜は、上記の図5Cを参照して説明される処理に基づいて形成された。
図6A及び6Cに示されるように、高圧力のPEALD処理を用いて形成されたSiN膜は、低圧力のPEALD処理を用いて形成されたSiN膜(例えば、約69%のコンフォーマリティ値)と比べて、改善されたコンフォーマリティ(例えば、約92%のコンフォーマリティ値)を示した。図6B及び6Dに示されるように、高圧力のPEALD処理を用いて形成されたSiN薄膜のコンフォーマリティは、ウェットエッチング浸漬に続いて維持されたが、低圧力のPEALD処理を用いて形成されたSiN薄膜のコンフォーマリティは、非常に減少した。また、高圧力のPEALD処理を用いて形成されたSiN薄膜は、約1のウェットエッチング速度比(WERR)を示し、一方で、低圧力のPEALD処理を用いて形成されたSiN薄膜は、約1.55から約0.26(側壁面に対する上面)のWERRを示した。
SiN膜の使用のための具体的な状況
本明細書に記載されう方法及び材料は、水平なソース/ドレイン(S/D)及びゲート面を有する従来の横方向のトランジスタ設計に対して構造した品質及び改善されたエッチング特性を提供しうるだけでなく、非水平(例えば、垂直)面上及び複雑な三次元(3D)構造上に使用するために改善されたSiN膜を提供しうる。特定の実施形態では、SiN膜は、集積回路製造中の三次元構造上に、開示された方法によって堆積される。三次元トランジスタは、例えば、ダブル−ゲート電界効果トランジスタ(DG FET)、及びFinFETを含む他の種類の複数のゲートFETを含んでもよい。例えば、本開示の窒化ケイ素薄膜は、FinFETのような平坦ではない複数のゲートトランジスタで有益であってもよく、ゲート、ソース及びドレイン領域の上部に加えて、垂直な壁上にケイ化物を形成するために望ましい。
本明細書で教示されるSiN堆積技術のための別の3D構造は、Shifrenらにより米国特許公開公報第2009/0315120号に教示されるように3D上昇ソース/ドレイン構造で特に有益であり、その開示は、その全体が参照により本明細書に援用される。Shifrenらは、垂直な側壁を含む3D上昇ソース/ドレイン構造を教示する。
本発明の趣旨から逸脱せずに、多数及び様々な変更がなされうることが当業者によって理解されるであろう。説明された構成、構造、特性及び前駆体は、任意の適切な態様で組み合わせられうる。したがって、本発明の形態は、例示的なものであり、本発明の範囲を限定することを意図するものではないことが明確に理解されるべきである。添付の特許請求の範囲により規定されるように、全ての修正及び変更が本発明に含まれるように意図される。

Claims (29)

  1. プラズマエンハンスト原子層堆積(PEALD)処理により反応空間において基板に窒化ケイ素薄膜を形成する方法であって、前記PEALD処理は、少なくとも1つのPEALD堆積サイクルを備え、前記少なくとも1つのPEALD堆積サイクルは、
    前記基板の表面にシリコン種のモノレイヤーを整列するために、前記基板の表面を気相ケイ素前駆体と接触するステップであって、前記ケイ素前駆体はHSiIである、ステップと、
    前記基板の表面に窒化ケイ素を形成するために、吸着された前記シリコン種を窒素プラズマと接触するステップと、を備え、
    前記接触するステップ時の前記反応空間の圧力は、少なくとも20Torrである、方法。
  2. 前記窒化ケイ素薄膜は、前記基板上の三次元構造に堆積され、前記三次元構造の上面に形成された前記窒化ケイ素薄膜の一部と、前記三次元構造の側壁面に形成された前記窒化ケイ素薄膜の一部とのウェットエッチング速度比は、希釈0.5%HFにおいて1:1である、請求項1に記載の方法。
  3. 前記窒素プラズマは、500ワット(W)から1000Wのプラズマパワーを用いて形成される請求項1に記載の方法。
  4. 前記接触するステップは、100℃から650℃の処理温度で行われる請求項1に記載の方法。
  5. 複数の堆積サイクルを備える反応空間において基板に窒化ケイ素薄膜を形成する方法であって、少なくとも1つの堆積サイクルは、
    吸着されたシリコン種を前記基板の表面に提供するために、前記基板の表面を気相ケイ素前駆体と接触するステップと、
    前記基板の表面に窒化ケイ素を形成するために、前記吸着されたシリコン種を、窒素前駆体からプラズマにより生成される反応種と接触するステップと、を備え、
    前記接触するステップ時の前記反応空間の圧力は、少なくとも20Torrである、方法。
  6. 前記基板の表面を気相ケイ素前駆体と接触するステップは、前記吸着されたシリコン種のモノレイヤーを前記基板の表面に整列することを含む、請求項5に記載の方法。
  7. 前記気相ケイ素前駆体は、ハロゲン化シリルを含む請求項5に記載の方法。
  8. 前記気相ケイ素前駆体は、ヨウ素を含む請求項7に記載の方法。
  9. 前記気相ケイ素前駆体は、HSiIである請求項8に記載の方法。
  10. 前記反応空間内の処理圧力は、30Torrから500Torrである請求項5に記載の方法。
  11. 前記窒化ケイ素薄膜は、前記基板の表面上の三次元構造に堆積される請求項5に記載の方法。
  12. 前記三次元構造の上面に形成された前記窒化ケイ素薄膜の一部と、前記三次元構造の側壁面に形成された前記窒化ケイ素薄膜の一部とのウェットエッチング速度比は、希釈HFにおいて1:1である、請求項11に記載の方法。
  13. 前記少なくとも1つの堆積サイクルは、プラズマエンハンスト原子層堆積(PEALD)サイクルである請求項5に記載の方法。
  14. 前記窒素前駆体は、窒素ガス(N)を含む請求項5に記載の方法。
  15. 前記窒素ガス(N)は、前記少なくとも1つの堆積サイクルを通して連続的に流れる請求項14に記載の方法。
  16. 前記接触するステップは、100℃から650℃の処理温度で行われる請求項5に記載の方法。
  17. 前記基板の表面を前記気相ケイ素前駆体と接触するステップと前記吸着されたシリコン種を前記反応種と接触するステップとの間にパージガスを流すステップを更に備える請求項5に記載の方法。
  18. 前記基板の表面を前記気相ケイ素前駆体と接触するステップと前記吸着されたシリコン種を前記反応種と接触するステップとの間に余剰な気相ケイ素前駆体を除去するステップをに備える請求項5に記載の方法。
  19. 反応空間において基板に窒化ケイ素薄膜を形成する方法であって、
    前記基板をケイ素前駆体及び窒素プラズマと交互かつ連続的に接触するステップを含む複数の窒化ケイ素堆積サブサイクルと、
    複数の高圧力トリートメントサブサイクルであって、前記複数の高圧力トリートメントサブサイクルのうちの少なくとも1つは、前記基板を20Torrより大きい圧力で窒素プラズマと接触するステップを含む、複数の高圧力トリートメントサブサイクルと、を含む複数のスーパーサイクルを備える方法。
  20. 前記ケイ素前駆体は、HSiIである請求項19に記載の方法。
  21. 窒素含有プラズマは、NH、N、N/H混合物、N及びそれらの混合物からなる群から選択される窒素前駆体から生成される請求項19に記載の方法。
  22. 前記窒化ケイ素薄膜は、前記基板上の三次元構造に堆積される請求項19に記載の方法。
  23. 前記三次元構造の上面に形成された前記窒化ケイ素薄膜のウェットエッチング速度と、前記三次元構造の側壁面に形成された前記窒化ケイ素薄膜のウェットエッチング速度とのウェットエッチング速度比は、希釈HFにおいて1:1である、請求項22に記載の方法。
  24. 前記少なくとも1つの窒化ケイ素堆積サブサイクルは、前記少なくとも1つの窒化ケイ素堆積サブサイクルを通してキャリアガスを流すステップを更に備える請求項19に記載の方法。
  25. 前記少なくとも1つの窒化ケイ素堆積サブサイクルは、前記少なくとも1つの窒化ケイ素堆積サブサイクルを通して水素含有ガス及び窒素含有ガスを流すステップを更に備える請求項19に記載の方法。
  26. 前記水素含有ガス及び前記窒素含有ガスは、前記窒素含有プラズマを形成するために用いられる請求項25に記載の方法。
  27. 前記少なくとも1つの高圧力トリートメントサブサイクルは、前記少なくとも1つの高圧トリートメントサブサイクルを通してキャリアガスを流すステップを更に備える請求項19に記載の方法。
  28. 前記高圧力トリートメントサブサイクルの圧力は、20Torrから500Torrである請求項19に記載の方法。
  29. 前記高圧力トリートメントサブサイクルの圧力は、20Torrから30Torrである請求項19に記載の方法。
JP2016160611A 2015-08-24 2016-08-18 SiN薄膜の形成 Active JP6954726B2 (ja)

Priority Applications (2)

Application Number Priority Date Filing Date Title
JP2021113481A JP7069387B2 (ja) 2015-08-24 2021-07-08 SiN薄膜の形成
JP2022075419A JP7309962B2 (ja) 2015-08-24 2022-04-28 SiN薄膜の形成

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/834,290 2015-08-24
US14/834,290 US10410857B2 (en) 2015-08-24 2015-08-24 Formation of SiN thin films

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2021113481A Division JP7069387B2 (ja) 2015-08-24 2021-07-08 SiN薄膜の形成

Publications (2)

Publication Number Publication Date
JP2017079327A true JP2017079327A (ja) 2017-04-27
JP6954726B2 JP6954726B2 (ja) 2021-10-27

Family

ID=58104231

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2016160611A Active JP6954726B2 (ja) 2015-08-24 2016-08-18 SiN薄膜の形成
JP2021113481A Active JP7069387B2 (ja) 2015-08-24 2021-07-08 SiN薄膜の形成
JP2022075419A Active JP7309962B2 (ja) 2015-08-24 2022-04-28 SiN薄膜の形成

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2021113481A Active JP7069387B2 (ja) 2015-08-24 2021-07-08 SiN薄膜の形成
JP2022075419A Active JP7309962B2 (ja) 2015-08-24 2022-04-28 SiN薄膜の形成

Country Status (4)

Country Link
US (3) US10410857B2 (ja)
JP (3) JP6954726B2 (ja)
KR (3) KR102385974B1 (ja)
TW (3) TWI725985B (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108878258A (zh) * 2017-05-11 2018-11-23 Asm Ip控股有限公司 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法
JP2019029652A (ja) * 2017-07-26 2019-02-21 エーエスエム アイピー ホールディング ビー.ブイ. 負バイアスを用いてpealdによって膜を堆積する方法
JP2019194353A (ja) * 2018-04-30 2019-11-07 エーエスエム アイピー ホールディング ビー.ブイ. シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD)

Families Citing this family (310)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9564309B2 (en) * 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
WO2017048259A1 (en) * 2015-09-17 2017-03-23 Intel Corporation Graphene fluorination for integration of graphene with insulators and devices
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10084040B2 (en) 2015-12-30 2018-09-25 Taiwan Semiconductor Manufacturing Co., Ltd. Seamless gap fill
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9865456B1 (en) * 2016-08-12 2018-01-09 Micron Technology, Inc. Methods of forming silicon nitride by atomic layer deposition and methods of forming semiconductor structures
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN108728825B (zh) * 2017-04-25 2020-12-01 Asm知识产权私人控股有限公司 沉积薄膜的方法及制造半导体装置的方法
KR102457289B1 (ko) * 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10763108B2 (en) * 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11037780B2 (en) 2017-12-12 2021-06-15 Asm Ip Holding B.V. Method for manufacturing semiconductor device with helium-containing gas
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US11393674B2 (en) 2018-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Forming low-stress silicon nitride layer through hydrogen treatment
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11492703B2 (en) 2018-06-27 2022-11-08 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) * 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) * 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11600530B2 (en) * 2018-07-31 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US20200075325A1 (en) * 2018-08-29 2020-03-05 Asm Ip Holding B.V. Film forming method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110534425B (zh) * 2018-09-18 2022-09-16 北京北方华创微电子装备有限公司 深硅刻蚀方法、深硅槽结构及半导体器件
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
CN112930581A (zh) * 2018-10-19 2021-06-08 朗姆研究公司 沉积氮化硅膜的方法
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP7209568B2 (ja) * 2019-03-27 2023-01-20 東京エレクトロン株式会社 基板処理方法及び基板処理装置
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US20200318237A1 (en) * 2019-04-05 2020-10-08 Asm Ip Holding B.V. Methods for forming a boron nitride film by a plasma enhanced atomic layer deposition process
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
JP2022534793A (ja) * 2019-06-07 2022-08-03 ラム リサーチ コーポレーション 原子層堆積時における膜特性の原位置制御
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US11823907B2 (en) * 2019-10-16 2023-11-21 Wonik Ips Co., Ltd. Processing method for substrate
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) * 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US11979171B2 (en) 2020-10-13 2024-05-07 Microchip Technology Incorporated Reduced complexity encoders and related systems, methods, and devices
TW202229613A (zh) * 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
US11551926B2 (en) 2021-01-22 2023-01-10 Micron Technology, Inc. Methods of forming a microelectronic device, and related systems and additional methods
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005696A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd プラズマ窒化処理方法および半導体装置の製造方法
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140273529A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen PEALD of Films Comprising Silicon Nitride
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体

Family Cites Families (206)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4683147A (en) 1984-04-16 1987-07-28 Canon Kabushiki Kaisha Method of forming deposition film
US4668365A (en) 1984-10-25 1987-05-26 Applied Materials, Inc. Apparatus and method for magnetron-enhanced plasma-assisted chemical vapor deposition
JPS61252932A (ja) 1985-04-30 1986-11-10 Mitsubishi Heavy Ind Ltd ワイヤチエ−ンコネクタ
US4696834A (en) 1986-02-28 1987-09-29 Dow Corning Corporation Silicon-containing coatings and a method for their preparation
JPH01103844A (ja) 1987-10-16 1989-04-20 Matsushita Electric Ind Co Ltd 絶縁体薄膜の製造方法
US5024716A (en) 1988-01-20 1991-06-18 Canon Kabushiki Kaisha Plasma processing apparatus for etching, ashing and film-formation
JPH0570957A (ja) 1991-09-19 1993-03-23 Nec Corp プラズマ気相成長装置
US5939333A (en) 1996-05-30 1999-08-17 Micron Technology, Inc. Silicon nitride deposition method
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US7393561B2 (en) 1997-08-11 2008-07-01 Applied Materials, Inc. Method and apparatus for layer by layer deposition of thin films
JP3063840B2 (ja) 1997-11-19 2000-07-12 日本電気株式会社 半導体装置およびその製造方法
JP2003522826A (ja) 1997-12-02 2003-07-29 ゲレスト インコーポレーテツド ヨードシラン前駆体から形成したけい素ベースフィルムおよびその製作方法
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR20010074387A (ko) 2000-01-25 2001-08-04 황 철 주 실리콘질화막 형성방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
JP3420205B2 (ja) 2000-11-20 2003-06-23 Necエレクトロニクス株式会社 半導体装置の製造方法
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
JP5068402B2 (ja) 2000-12-28 2012-11-07 公益財団法人国際科学振興財団 誘電体膜およびその形成方法、半導体装置、不揮発性半導体メモリ装置、および半導体装置の製造方法
JP2002308643A (ja) 2001-02-01 2002-10-23 Nippon Electric Glass Co Ltd 無アルカリガラス及びディスプレイ用ガラス基板
US7084080B2 (en) 2001-03-30 2006-08-01 Advanced Technology Materials, Inc. Silicon source reagent compositions, and method of making and using same for microelectronic device structure
US7005392B2 (en) 2001-03-30 2006-02-28 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of gate dielectric thin films using amide precursors and method of using same
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
GB0113751D0 (en) 2001-06-06 2001-07-25 Dow Corning Surface treatment
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
US9708707B2 (en) 2001-09-10 2017-07-18 Asm International N.V. Nanolayer deposition using bias power treatment
US6929831B2 (en) 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
JP4121269B2 (ja) 2001-11-27 2008-07-23 日本エー・エス・エム株式会社 セルフクリーニングを実行するプラズマcvd装置及び方法
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
KR100469126B1 (ko) 2002-06-05 2005-01-29 삼성전자주식회사 수소 함유량이 적은 박막 형성방법
US7297641B2 (en) 2002-07-19 2007-11-20 Asm America, Inc. Method to form ultra high quality silicon-containing compound layers
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
CN100471991C (zh) 2002-10-18 2009-03-25 应用材料有限公司 采用硅化合物进行的含硅层沉积
US7144806B1 (en) 2002-10-23 2006-12-05 Novellus Systems, Inc. ALD of tantalum using a hydride reducing agent
US7446217B2 (en) 2002-11-14 2008-11-04 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films
US7531679B2 (en) 2002-11-14 2009-05-12 Advanced Technology Materials, Inc. Composition and method for low temperature deposition of silicon-containing films such as films including silicon nitride, silicon dioxide and/or silicon-oxynitride
KR100496265B1 (ko) 2002-11-29 2005-06-17 한국전자통신연구원 반도체 소자의 박막 형성방법
US7172792B2 (en) 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US9121098B2 (en) 2003-02-04 2015-09-01 Asm International N.V. NanoLayer Deposition process for composite films
KR100965758B1 (ko) 2003-05-22 2010-06-24 주성엔지니어링(주) 액정표시장치용 플라즈마 강화 화학기상증착 장치의샤워헤드 어셈블리
US7125582B2 (en) 2003-07-30 2006-10-24 Intel Corporation Low-temperature silicon nitride deposition
US7524774B2 (en) 2003-09-26 2009-04-28 Tokyo Electron Limited Manufacturing method of semiconductor device, semiconductor manufacturing apparatus, plasma nitridation method, computer recording medium, and program
US20050145177A1 (en) 2003-12-30 2005-07-07 Mcswiney Michael Method and apparatus for low temperature silicon nitride deposition
KR100560654B1 (ko) 2004-01-08 2006-03-16 삼성전자주식회사 질화실리콘막을 형성을 위한 질소화합물 및 이를 이용한질화실리콘 막의 형성방법
US20050181633A1 (en) * 2004-02-17 2005-08-18 Hochberg Arthur K. Precursors for depositing silicon-containing films and processes thereof
JP4707959B2 (ja) 2004-02-20 2011-06-22 日本エー・エス・エム株式会社 シャワープレート、プラズマ処理装置及びプラズマ処理方法
TW200603287A (en) 2004-03-26 2006-01-16 Ulvac Inc Unit layer posttreating catalytic chemical vapor deposition apparatus and method of film formation therewith
KR101082921B1 (ko) 2004-06-03 2011-11-11 삼성전자주식회사 반도체 소자의 실리콘 산화막 형성 방법
US20050282350A1 (en) 2004-06-22 2005-12-22 You-Hua Chou Atomic layer deposition for filling a gap between devices
US20060019032A1 (en) 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP4669679B2 (ja) 2004-07-29 2011-04-13 東京エレクトロン株式会社 窒化珪素膜の製造方法及び半導体装置の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060084283A1 (en) 2004-10-20 2006-04-20 Paranjpe Ajit P Low temperature sin deposition methods
US20060255315A1 (en) 2004-11-19 2006-11-16 Yellowaga Deborah L Selective removal chemistries for semiconductor applications, methods of production and uses thereof
JP2006190770A (ja) 2005-01-05 2006-07-20 Hitachi Kokusai Electric Inc 基板処理装置
JP4546519B2 (ja) 2005-02-17 2010-09-15 株式会社日立国際電気 半導体デバイスの製造方法
US7608549B2 (en) 2005-03-15 2009-10-27 Asm America, Inc. Method of forming non-conformal layers
US20060213437A1 (en) 2005-03-28 2006-09-28 Tokyo Electron Limited Plasma enhanced atomic layer deposition system
JP4607637B2 (ja) 2005-03-28 2011-01-05 東京エレクトロン株式会社 シリコン窒化膜の形成方法、シリコン窒化膜の形成装置及びプログラム
US8138104B2 (en) 2005-05-26 2012-03-20 Applied Materials, Inc. Method to increase silicon nitride tensile stress using nitrogen plasma in-situ treatment and ex-situ UV cure
US7473655B2 (en) 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7429538B2 (en) * 2005-06-27 2008-09-30 Applied Materials, Inc. Manufacturing method for two-step post nitridation annealing of plasma nitrided gate dielectric
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7785658B2 (en) 2005-10-07 2010-08-31 Asm Japan K.K. Method for forming metal wiring structure
US20070116888A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US7795160B2 (en) 2006-07-21 2010-09-14 Asm America Inc. ALD of metal silicate films
KR100752190B1 (ko) 2006-09-04 2007-08-27 동부일렉트로닉스 주식회사 반도체 소자의 갭필 방법
US7531452B2 (en) 2007-03-30 2009-05-12 Tokyo Electron Limited Strained metal silicon nitride films and method of forming
US7651961B2 (en) 2007-03-30 2010-01-26 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US8084105B2 (en) 2007-05-23 2011-12-27 Applied Materials, Inc. Method of depositing boron nitride and boron nitride-derived materials
JP5151260B2 (ja) 2007-06-11 2013-02-27 東京エレクトロン株式会社 成膜方法及び成膜装置
CN100590803C (zh) 2007-06-22 2010-02-17 中芯国际集成电路制造(上海)有限公司 原子层沉积方法以及形成的半导体器件
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090155606A1 (en) 2007-12-13 2009-06-18 Asm Genitech Korea Ltd. Methods of depositing a silicon nitride film
US7678715B2 (en) 2007-12-21 2010-03-16 Applied Materials, Inc. Low wet etch rate silicon nitride film
JP4935684B2 (ja) 2008-01-12 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
US8129288B2 (en) 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
JP4935687B2 (ja) 2008-01-19 2012-05-23 東京エレクトロン株式会社 成膜方法及び成膜装置
KR101417728B1 (ko) 2008-03-12 2014-07-11 삼성전자주식회사 지르코늄 유기산질화막 형성방법 및 이를 이용하는 반도체장치 및 그 제조방법
US7871942B2 (en) 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
US8148269B2 (en) 2008-04-04 2012-04-03 Applied Materials, Inc. Boron nitride and boron-nitride derived materials deposition method
US8383525B2 (en) 2008-04-25 2013-02-26 Asm America, Inc. Plasma-enhanced deposition process for forming a metal oxide thin film and related structures
US8298628B2 (en) 2008-06-02 2012-10-30 Air Products And Chemicals, Inc. Low temperature deposition of silicon-containing films
JP5102393B2 (ja) 2008-06-03 2012-12-19 エア プロダクツ アンド ケミカルズ インコーポレイテッド ケイ素含有フィルムの低温堆積
US8129555B2 (en) 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
US8726838B2 (en) 2010-03-31 2014-05-20 Intermolecular, Inc. Combinatorial plasma enhanced deposition and etch techniques
JP2010103484A (ja) 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
US20120153442A1 (en) 2008-09-30 2012-06-21 Tokyo Electron Limited Silicon nitride film and process for production thereof, computer-readable storage medium, and plasma cvd device
KR101491726B1 (ko) 2008-10-08 2015-02-17 주성엔지니어링(주) 반도체 소자의 갭필 방법
US8647722B2 (en) 2008-11-14 2014-02-11 Asm Japan K.K. Method of forming insulation film using plasma treatment cycles
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US7919416B2 (en) 2009-01-21 2011-04-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
JP5547418B2 (ja) 2009-03-19 2014-07-16 株式会社Adeka 化学気相成長用原料及びこれを用いたシリコン含有薄膜形成方法
JP2011023718A (ja) 2009-07-15 2011-02-03 Asm Japan Kk PEALDによってSi−N結合を有するストレス調節された誘電体膜を形成する方法
US8173554B2 (en) 2009-10-14 2012-05-08 Asm Japan K.K. Method of depositing dielectric film having Si-N bonds by modified peald method
US8415259B2 (en) * 2009-10-14 2013-04-09 Asm Japan K.K. Method of depositing dielectric film by modified PEALD method
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
JP5346904B2 (ja) 2009-11-27 2013-11-20 東京エレクトロン株式会社 縦型成膜装置およびその使用方法
US8114761B2 (en) 2009-11-30 2012-02-14 Applied Materials, Inc. Method for doping non-planar transistors
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8937353B2 (en) 2010-03-01 2015-01-20 Taiwan Semiconductor Manufacturing Co., Ltd. Dual epitaxial process for a finFET device
US20130157466A1 (en) 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8993460B2 (en) 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
CN102884638A (zh) * 2010-05-07 2013-01-16 应用材料公司 用于太阳能电池的背侧反射器的氧化物-氮化物堆栈
WO2011162136A1 (en) 2010-06-23 2011-12-29 Tokyo Electron Limited Film formation method, semiconductor-device fabrication method, insulating film and semiconductor device
CN103098173A (zh) 2010-07-19 2013-05-08 瑞科硅公司 多晶硅生产
US8669185B2 (en) 2010-07-30 2014-03-11 Asm Japan K.K. Method of tailoring conformality of Si-containing film
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120149213A1 (en) 2010-12-09 2012-06-14 Lakshminarayana Nittala Bottom up fill in high aspect ratio trenches
JP5689398B2 (ja) 2010-12-21 2015-03-25 東京エレクトロン株式会社 窒化シリコン膜の成膜方法及び成膜装置
JP2012142386A (ja) 2010-12-28 2012-07-26 Elpida Memory Inc 窒化膜の形成方法
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8329599B2 (en) 2011-02-18 2012-12-11 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
US8563443B2 (en) 2011-02-18 2013-10-22 Asm Japan K.K. Method of depositing dielectric film by ALD using precursor containing silicon, hydrocarbon, and halogen
JP2012216631A (ja) 2011-03-31 2012-11-08 Tokyo Electron Ltd プラズマ窒化処理方法
US8580664B2 (en) 2011-03-31 2013-11-12 Tokyo Electron Limited Method for forming ultra-shallow boron doping regions by solid phase diffusion
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
TW201306082A (zh) 2011-04-18 2013-02-01 Tokyo Electron Ltd 電漿評估方法、電漿處理方法及電漿處理裝置
KR101657341B1 (ko) 2011-04-25 2016-09-13 도쿄엘렉트론가부시키가이샤 성막 방법
KR101659463B1 (ko) 2011-06-03 2016-09-23 에어 프로덕츠 앤드 케미칼스, 인코오포레이티드 탄소-도핑된 규소-함유 막을 증착시키기 위한 조성물 및 방법
CN103620745B (zh) 2011-08-25 2016-09-21 株式会社日立国际电气 半导体器件的制造方法、衬底处理方法、衬底处理装置及记录介质
US8476743B2 (en) 2011-09-09 2013-07-02 International Business Machines Corporation C-rich carbon boron nitride dielectric films for use in electronic devices
US8557666B2 (en) 2011-09-13 2013-10-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits
US8993072B2 (en) 2011-09-27 2015-03-31 Air Products And Chemicals, Inc. Halogenated organoaminosilane precursors and methods for depositing films comprising same
US8569184B2 (en) 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
JP6088178B2 (ja) 2011-10-07 2017-03-01 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI606136B (zh) 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
JP2013125762A (ja) 2011-12-13 2013-06-24 Tokyo Electron Ltd 成膜装置、および成膜方法
US8698199B2 (en) 2012-01-11 2014-04-15 United Microelectronics Corp. FinFET structure
US8586487B2 (en) 2012-01-18 2013-11-19 Applied Materials, Inc. Low temperature plasma enhanced chemical vapor deposition of conformal silicon carbon nitride and silicon nitride films
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
WO2013123143A1 (en) 2012-02-14 2013-08-22 Novellus Systems, Inc. Silicon nitride films for semiconductor device applications
JP5912637B2 (ja) 2012-02-17 2016-04-27 東京エレクトロン株式会社 半導体装置の製造方法
US20130224964A1 (en) 2012-02-28 2013-08-29 Asm Ip Holding B.V. Method for Forming Dielectric Film Containing Si-C bonds by Atomic Layer Deposition Using Precursor Containing Si-C-Si bond
US8912101B2 (en) 2012-03-15 2014-12-16 Asm Ip Holding B.V. Method for forming Si-containing film using two precursors by ALD
JP6105967B2 (ja) 2012-03-21 2017-03-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
JP5208294B2 (ja) 2012-04-23 2013-06-12 株式会社日立国際電気 半導体装置の製造方法、基板処理方法及び基板処理装置
US9337018B2 (en) 2012-06-01 2016-05-10 Air Products And Chemicals, Inc. Methods for depositing films with organoaminodisilane precursors
US8722546B2 (en) 2012-06-11 2014-05-13 Asm Ip Holding B.V. Method for forming silicon-containing dielectric film by cyclic deposition with side wall coverage control
KR20150036114A (ko) 2012-07-20 2015-04-07 레르 리키드 쏘시에떼 아노님 뿌르 레뜌드 에렉스뿔라따시옹 데 프로세데 조르즈 클로드 Ald/cvd 규소-함유 필름 애플리케이션을 위한 유기실란 전구체
US20140023794A1 (en) 2012-07-23 2014-01-23 Maitreyee Mahajani Method And Apparatus For Low Temperature ALD Deposition
US20140030444A1 (en) 2012-07-30 2014-01-30 Novellus Systems, Inc. High pressure, high power plasma activated conformal film deposition
JP2014060378A (ja) * 2012-08-23 2014-04-03 Tokyo Electron Ltd シリコン窒化膜の成膜方法、有機電子デバイスの製造方法及びシリコン窒化膜の成膜装置
US8742668B2 (en) 2012-09-05 2014-06-03 Asm Ip Holdings B.V. Method for stabilizing plasma ignition
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
JP6538300B2 (ja) 2012-11-08 2019-07-03 ノベラス・システムズ・インコーポレーテッドNovellus Systems Incorporated 感受性基材上にフィルムを蒸着するための方法
US8772101B2 (en) 2012-11-08 2014-07-08 Globalfoundries Inc. Methods of forming replacement gate structures on semiconductor devices and the resulting device
US8784951B2 (en) 2012-11-16 2014-07-22 Asm Ip Holding B.V. Method for forming insulation film using non-halide precursor having four or more silicons
DE112013006170T5 (de) 2012-12-21 2015-09-17 Rec Silicon Inc Hitzebeständiger Stahl für Ausrüstungsteile von Fliessbettreaktoren
CN103915341B (zh) 2013-01-08 2016-12-28 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US20140273526A1 (en) 2013-03-12 2014-09-18 David Thompson Atomic Layer Deposition Of Films Comprising Si(C)N Using Hydrazine, Azide And/Or Silyl Amine Derivatives
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US20140273531A1 (en) 2013-03-14 2014-09-18 Asm Ip Holding B.V. Si PRECURSORS FOR DEPOSITION OF SiN AT LOW TEMPERATURES
US8828866B1 (en) 2013-06-26 2014-09-09 Applied Materials, Inc. Methods for depositing a tantalum silicon nitride film
JP6267080B2 (ja) 2013-10-07 2018-01-24 東京エレクトロン株式会社 シリコン窒化物膜の成膜方法および成膜装置
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9543140B2 (en) 2013-10-16 2017-01-10 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US20150125628A1 (en) * 2013-11-06 2015-05-07 Asm Ip Holding B.V. Method of depositing thin film
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
TWI654336B (zh) 2013-12-30 2019-03-21 美商蘭姆研究公司 具有脈衝式電漿曝露之電漿輔助式原子層沉積
US20150255324A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. Seamless gap-fill with spatial atomic layer deposition
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
KR101723546B1 (ko) 2014-10-20 2017-04-05 주식회사 케이씨텍 박막 형성방법 및 원자층 증착장치
KR20170019668A (ko) 2015-08-12 2017-02-22 (주)디엔에프 플라즈마 원자층 증착법을 이용한 실리콘 질화 박막의 제조방법
US20170051405A1 (en) 2015-08-18 2017-02-23 Asm Ip Holding B.V. Method for forming sin or sicn film in trenches by peald
US20170053792A1 (en) 2015-08-21 2017-02-23 Applied Materials, Inc. High Temperature Thermal ALD Silicon Nitride Films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US11028477B2 (en) 2015-10-23 2021-06-08 Applied Materials, Inc. Bottom-up gap-fill by surface poisoning treatment
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
JP6584347B2 (ja) 2016-03-02 2019-10-02 東京エレクトロン株式会社 成膜方法
US20180033614A1 (en) 2016-07-27 2018-02-01 Versum Materials Us, Llc Compositions and Methods Using Same for Carbon Doped Silicon Containing Films
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US9960033B1 (en) 2016-12-16 2018-05-01 Asm Ip Holding B.V. Method of depositing and etching Si-containing film
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11017997B2 (en) 2017-01-13 2021-05-25 Applied Materials, Inc. Methods and apparatus for low temperature silicon nitride films
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US9984869B1 (en) 2017-04-17 2018-05-29 Asm Ip Holding B.V. Method of plasma-assisted cyclic deposition using ramp-down flow of reactant gas
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11955331B2 (en) 2018-02-20 2024-04-09 Applied Materials, Inc. Method of forming silicon nitride films using microwave plasma
TWI821283B (zh) 2018-04-29 2023-11-11 美商應用材料股份有限公司 沉積方法
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10381219B1 (en) * 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US20220005693A1 (en) 2020-07-01 2022-01-06 Asm Ip Holding B.V. Silicon nitride and silicon oxide deposition methods using fluorine inhibitor

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2007005696A (ja) * 2005-06-27 2007-01-11 Tokyo Electron Ltd プラズマ窒化処理方法および半導体装置の製造方法
WO2013137115A1 (ja) * 2012-03-15 2013-09-19 東京エレクトロン株式会社 成膜方法及び成膜装置
JP2014179607A (ja) * 2013-03-14 2014-09-25 Asm Ip Holding B V 低温でのSiNの蒸着用Si前駆体
US20140273530A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen Post-Deposition Treatment Methods For Silicon Nitride
US20140273529A1 (en) * 2013-03-15 2014-09-18 Victor Nguyen PEALD of Films Comprising Silicon Nitride

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108878258A (zh) * 2017-05-11 2018-11-23 Asm Ip控股有限公司 用于在沟槽的侧壁或平坦表面上选择性地形成氮化硅膜的方法
JP2018190986A (ja) * 2017-05-11 2018-11-29 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
JP7233173B2 (ja) 2017-05-11 2023-03-06 エーエスエム アイピー ホールディング ビー.ブイ. トレンチの側壁又は平坦面上に選択的に窒化ケイ素膜を形成する方法
JP2019029652A (ja) * 2017-07-26 2019-02-21 エーエスエム アイピー ホールディング ビー.ブイ. 負バイアスを用いてpealdによって膜を堆積する方法
JP7162456B2 (ja) 2017-07-26 2022-10-28 エーエスエム アイピー ホールディング ビー.ブイ. 負バイアスを用いてpealdによって膜を堆積する方法
JP2019194353A (ja) * 2018-04-30 2019-11-07 エーエスエム アイピー ホールディング ビー.ブイ. シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD)
JP7321747B2 (ja) 2018-04-30 2023-08-07 エーエスエム アイピー ホールディング ビー.ブイ. シリコンヒドロハライド前駆体を用いたSiNのプラズマエンハンスト原子層堆積(PEALD)

Also Published As

Publication number Publication date
JP6954726B2 (ja) 2021-10-27
JP7069387B2 (ja) 2022-05-17
TWI725985B (zh) 2021-05-01
KR20170023727A (ko) 2017-03-06
US10410857B2 (en) 2019-09-10
TW202126842A (zh) 2021-07-16
TW201708592A (zh) 2017-03-01
US11784043B2 (en) 2023-10-10
TW202317808A (zh) 2023-05-01
TWI789733B (zh) 2023-01-11
JP2022110017A (ja) 2022-07-28
KR20220047948A (ko) 2022-04-19
US20220044923A1 (en) 2022-02-10
US11133181B2 (en) 2021-09-28
KR20230034247A (ko) 2023-03-09
KR102385974B1 (ko) 2022-04-13
US20170062204A1 (en) 2017-03-02
US20190378711A1 (en) 2019-12-12
JP7309962B2 (ja) 2023-07-18
JP2021168410A (ja) 2021-10-21
KR102503837B1 (ko) 2023-02-24

Similar Documents

Publication Publication Date Title
JP7069387B2 (ja) SiN薄膜の形成
JP7158616B2 (ja) SiNの堆積
US11069522B2 (en) Si precursors for deposition of SiN at low temperatures
KR102482954B1 (ko) 기판 상에 구조물을 형성하는 방법
JP2023027062A (ja) 低温でのSiNの堆積用Si前駆体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190815

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200923

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200929

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201222

A02 Decision of refusal

Free format text: JAPANESE INTERMEDIATE CODE: A02

Effective date: 20210309

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210708

C60 Trial request (containing other claim documents, opposition documents)

Free format text: JAPANESE INTERMEDIATE CODE: C60

Effective date: 20210708

A911 Transfer to examiner for re-examination before appeal (zenchi)

Free format text: JAPANESE INTERMEDIATE CODE: A911

Effective date: 20210716

C21 Notice of transfer of a case for reconsideration by examiners before appeal proceedings

Free format text: JAPANESE INTERMEDIATE CODE: C21

Effective date: 20210720

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210907

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210930

R150 Certificate of patent or registration of utility model

Ref document number: 6954726

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150