TWI606136B - 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 - Google Patents

沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 Download PDF

Info

Publication number
TWI606136B
TWI606136B TW105109310A TW105109310A TWI606136B TW I606136 B TWI606136 B TW I606136B TW 105109310 A TW105109310 A TW 105109310A TW 105109310 A TW105109310 A TW 105109310A TW I606136 B TWI606136 B TW I606136B
Authority
TW
Taiwan
Prior art keywords
substrate
precursor
dopant
yttrium oxide
contacting
Prior art date
Application number
TW105109310A
Other languages
English (en)
Other versions
TW201627520A (zh
Inventor
高牟礼昇
深澤篤穀
福田秀明
尼斯卡嫩安提
賀加蘇維
中野竜
難波邦年
Original Assignee
Asm國際股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Asm國際股份有限公司 filed Critical Asm國際股份有限公司
Publication of TW201627520A publication Critical patent/TW201627520A/zh
Application granted granted Critical
Publication of TWI606136B publication Critical patent/TWI606136B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/02129Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being boron or phosphorus doped silicon oxides, e.g. BPSG, BSG or PSG
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/2225Diffusion sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/22Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities
    • H01L21/225Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions; Interactions between two or more impurities; Redistribution of impurities using diffusion into or out of a solid from or into a solid phase, e.g. a doped oxide layer
    • H01L21/2251Diffusion into or out of group IV semiconductors
    • H01L21/2254Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides
    • H01L21/2255Diffusion into or out of group IV semiconductors from or through or into an applied layer, e.g. photoresist, nitrides the applied layer comprising oxides only, e.g. P2O5, PSG, H3BO3, doped oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01005Boron [B]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/01Chemical elements
    • H01L2924/01015Phosphorus [P]

Description

沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
本發明大致上是有關於一種摻雜二氧化矽薄膜的原子層沉積。 【相關申請案之互相參照】
本案主張在2011年10月12日提申的美國臨時專利申請案第61/546,500號及在2012年2月10日提申的美國臨時專利申請案第61/597,373號的優先權,其所揭露內容完整地以引用方式併入本文。
隨著元件的尺寸縮小,需要以良好的階梯覆蓋(step coverage)沉積介電膜。傳統的原子層沉積(atomic layer deposition, ALD)是一種自限製程(self-limiting process),其利用反應前驅物的交替脈衝使基底表面飽和,且在每次脈衝中留下不超過一層的材料單層。選擇沈積條件以及反應前驅物以確保自飽和反應(self-saturating reaction),使得一次脈衝中的吸附層產生不與同一脈衝的額外氣相反應物發生反應的表面終端(surface termination)。不同反應物的後續脈衝會與先前的終端進行反應,以便能夠持續地進行沈積。因此,交替脈衝的每一次循環產生的所需材料不超過大約一個分子層。ALD型製程的原理已經被T. Suntola所提出,例如在”Handbook of Crystal Growth 3, Thin Films and Epitaxy, Part B: Growth Mechanisms and Dynamics, Chapter 14, Atomic Layer Epitaxy, pp. 601-663, Elsevier Science B. V. 1994”,其揭露的內容以引用方式併入本案。
如本發明所述,原子層沉積(ALD)製程用於沉積摻雜二氧化矽,例如BSG與PSG,且ALD於三維結構上提供良好的階梯覆蓋。
本發明的沉積摻雜氧化矽的方法是藉由原子層沉積(ALD)製程將摻雜氧化矽沉積在反應室內的基底上。ALD製程包括至少一次摻雜氧化矽沉積循環,其中摻雜氧化矽沉積循環的步驟如下所述。將基底與矽前驅物接觸。將基底與摻質前驅物接觸。自基底移除過量的矽前驅物與摻質前驅物。接著將基底與反應物種接觸以形成摻雜氧化矽。
在本發明的一實施例中,上述的自基底移除過量的矽前驅物與摻質前驅物包括使基底暴露至清洗氣體。
在本發明的一實施例中,上述的反應物種包括氧氣。
在本發明的一實施例中,上述的反應物種包括氧氣的非激發物種。
在本發明的一實施例中,上述的反應物種包括氮氣的激發物種。
在本發明的一實施例中,上述的ALD製程為電漿輔助原子層沉積(PEALD)製程。
在本發明的一實施例中,上述的在PEALD製程的期間,氧氣持續地流至反應室。
在本發明的一實施例中,上述的在將基底與矽前驅物以及摻質前驅物接觸之後,提供氧氣至反應室。
在本發明的一實施例中,上述的基底同時與矽前驅物以及摻質前驅物接觸。
在本發明的一實施例中,上述的將基底與矽前驅物接觸包括提供矽前驅物的脈衝至反應室。
在本發明的一實施例中,上述的將基底與摻質前驅物接觸包括提供摻質前驅物的脈衝至反應室。
在本發明的一實施例中,上述的矽前驅物的脈衝以及摻質前驅物的脈衝至少部分重疊。
在本發明的一實施例中,上述的矽前驅物的脈衝以及摻質前驅物的脈衝同時供應至反應室。
在本發明的一實施例中,上述的摻雜氧化矽沉積循環的步驟依序如下所述。將基底與矽前驅物接觸。將基底與摻質前驅物接觸。提供氧氣至反應室,其中在提供氧氣至反應室的期間產生電漿,以形成摻雜氧化矽。
在本發明的一實施例中,上述的摻雜氧化矽沉積循環的步驟依序如下所述。將基底與摻質前驅物接觸。將基底與矽前驅物接觸。自反應室移除過量的矽前驅物。藉由在提供氧氣至反應室時產生電漿使基底與反應物種接觸,以形成摻雜氧化矽。
在本發明的一實施例中,上述的摻雜氧化矽沉積循環的步驟依序如下所述。將基底與摻質前驅物接觸。將基底與反應物種接觸。將基底與矽前驅物接觸。藉由在反應室內產生氧氣電漿使基底與反應物種接觸,以形成摻雜氧化矽膜,其中在摻雜氧化矽沉積循環期間,氧氣始終流至反應室。
在本發明的一實施例中,上述的在將基底與矽前驅物接觸之後,在將基底與摻質前驅物接觸之前移除過量的矽前驅物。
在本發明的一實施例中,上述的在摻雜氧化矽沉積循環中,在將基底與矽前驅物接觸之前,將基底與摻質前驅物接觸。
在本發明的一實施例中,上述的將基底與反應物種接觸包括於基底上產生電漿。
在本發明的一實施例中,上述的將基底與反應物種接觸包括於反應室外產生電漿。
在本發明的一實施例中,上述的矽前驅物包括Si-N鍵。
在本發明的一實施例中,上述的矽前驅物為胺基矽烷(amimosilane)或胺矽烷(aminesilane)。
在本發明的一實施例中,上述的矽前驅物為二烷基胺基矽烷(dialkylaminesilane)或六(單烷基胺基)矽烷(hexakis(monoalkylamino)disilane)。
在本發明的一實施例中,上述的摻質前驅物為硼化合物。
在本發明的一實施例中,上述的硼化合物為烷基硼(alkylboron)化合物或烷氧化硼(boron alkoxide)化合物。
在本發明的一實施例中,上述的硼化合物為B(OR)3 、BR3 、(B(CH3 )3 )或(B(C2 H5 )3 )。
在本發明的一實施例中,上述的摻質前驅物為磷化合物。
在本發明的一實施例中,上述的磷化合物為烷基磷(alkyl phosphorous)化合物或烷氧化磷(phosphorous alkoxide)化合物。
在本發明的一實施例中,上述的磷化合物為P(OR)3 、PR3 、(P(CH3 )3 )或PH3
在本發明的一實施例中,上述的摻雜氧化矽沉積在三維結構上面並具有至少約80%階梯覆蓋。
在本發明的一實施例中,上述的ALD製程更包括至少一次氧化矽沉積循環,氧化矽沉積循環的步驟依序如下所述。將基底與矽前驅物接觸。將基底與含有氧氣的反應物種接觸,以形成氧化矽。
在本發明的一實施例中,上述的將基底與含有氧氣的反應物種接觸之前,移除過量的矽前驅物。
本發明的一種用於沉積摻雜氧化矽至基板上的原子層沉積(ALD)製程包括至少一次沉積循環。沉積循環的步驟依序如下所述。將基底與矽前驅物接觸。將基底與摻質前驅物接觸。使基底暴露至清洗氣體。將基底與反應物種接觸以形成摻雜氧化矽。
在本發明的一實施例中,上述的反應物種包括氧氣。
在本發明的一實施例中,上述的反應物種包括氧氣的非激發物種。
在本發明的一實施例中,上述的反應物種包括氮氣的激發物種。
在本發明的一實施例中,上述的ALD製程為電漿輔助原子層沉積(PEALD)製程。
在本發明的一實施例中,上述的基底同時與矽前驅物以及摻質前驅物接觸。
在本發明的一實施例中,上述的矽前驅物為胺基矽烷(amimosilane)或胺矽烷(aminesilane)。
在本發明的一實施例中,上述的摻質前驅物為硼化合物或磷化合物。
可藉由使用摻質前驅物與反應物種的原子層沉積製程沉積摻質薄膜。在一些實施例中(例如在形成鰭式場效電晶體結構時),摻質薄膜可作為半導體基底上面的固態擴散層(solid state diffusion,SSD)。
根據一觀點,藉由ALD提供用於在反應室內的基底上面形成摻雜氧化矽薄膜的方法。在一些實施例中,ALD製程為電漿加強ALD製程(PEALD)。在一些實施例中,ALD製程包括:提供矽前驅物的脈衝至包含基底的反應空間;並提供摻質前驅物的脈衝至反應空間;然後移除過量的矽前驅物與摻質前驅物;並將反應物種與基底接觸。在一些實施例中,反應物種包括氧氣,且可例如是氧氣電漿。在一些實施例中,氧氣在製程期間持續地流到反應室。在一些實施例中,反應物種包括氮氣的激發物種。可以任何順序供應反應物,且在一些實施例中,摻質前驅物的脈衝與矽前驅物的脈衝至少有部份重疊。
在一些實施例中,所述方法包括摻雜氧化矽沉積循環,所述循環包括:提供包含矽前驅物的氣相第一前驅物脈衝至反應室,以在基底上面形成不超過約一單分子層的矽前驅物;並提供包含摻質前驅物的氣相第二前驅物脈衝至反應室,以便摻質前驅物以自限方式吸附在基底表面的有效結合位點(binding sites);然後從反應室移除過量的反應物及任何反應副產物;接著提供包含氧氣電漿的氣相第三反應物脈衝,以便氧氣電漿將吸附的矽與摻質轉化成摻雜氧化矽膜。在一些實施例中,在沉積製程期間,氧氣持續地流到反應室。氣相第三反應物脈衝的供應包括在流動的氧氣中產生電漿。氧氣電漿可遠端產生或產生在反應室本身內。在一些實施例中,同時提供矽前驅物與摻質前驅物。在一些實施例中,以至少部分重疊的脈衝提供矽前驅物與摻質前驅物。在一些實施例中,交替相繼地提供矽前驅物與摻質前驅物。重複所述沉積循環,直到獲得所希望的厚度與組成的薄膜為止。在一些實施例中,摻質選自於硼以及磷。矽前驅物可例如為雙(二乙基胺基)矽烷(bis(diethylamino) silane,BDEAS,((C2 H5 )N)2 SiH2 )。
在一些實施例中,藉由PEALD製程沉積摻雜氧化矽膜,以選擇達到摻雜氧化矽膜中所需摻質濃度的比例提供摻雜氧化矽與未摻雜氧化矽的沉積循環。
在一些實施例中,藉由PEALD製程沉積摻雜氧化矽膜,以選擇達到摻雜氧化矽膜中所需摻質濃度的比例提供摻雜氧化物與未摻雜氧化物的沉積循環。
根據本發明的另一觀點,提供用於形成FinFET結構的方法,藉由ALD將摻雜氧化矽膜或其他摻質膜沉積在散熱鳍片上面。
根據另一觀點,提供摻雜矽基底的方法。此方法包括:藉由ALD在矽基底的表面沉積包含摻質的SSD層;接著在SSD層上面沉積帽蓋層;然後退火基底以從SSD層將摻質驅入至下方的矽基底內。在一些實施例中,在沉積SSD層前以電漿處理基底。在一些實施例中,藉由PEALD製程沉積SSD層,其中將基底交替相繼地與摻質前驅物以及諸如氧氣、氮氣、氬氣、氦氣、氫氣、或氟電漿之電漿接觸。摻質可例如為磷、砷、銻、硼、鎵、或銦。在一些實施例中,可同時進行兩個或更多的電漿處理、SSD層的沉積、以及帽蓋層的沉積。
根據另一觀點,提供用於在反應室的基底上面沉積摻質化合物膜的方法。ALD製程包括至少一個摻質前驅物循環,其中提供摻質前驅物的脈衝至反應室;然後從反應室移去過量的摻質前驅物,並將基底與反應物種接觸以形成摻質化合物膜。摻質化合物膜可包含選自於13族、14族或15族元素的摻質。在一些實施例中,摻質化合物膜可包括氮化磷、碳化磷、或碳化硼。
為了概述本發明實施例及所獲得的優於先前技術之一些優點,上文已經描述了某些目的及優點。當然,應瞭解,根據本發明之任一特定實施例,未必能實現所有所述目的或優點。因此,例如熟習此項技術者將認知,實施或進行本發明之方式應能實現或優化本文所教示之一個優點或一組優點,無需實現本文所教示或提出的其他目的或優點。
所有此等實施例都將在本文所揭露之本發明的範疇內。自以下參照隨附圖式之較佳實施例的詳細描述,本發明之此等及其他實施例對熟習此項技術者顯而易見,本發明不侷限於所揭露的任何特定較佳實施例。
可藉由電漿輔助原子層沉積(PEALD)型的製程沉積諸如固態摻雜(solid state doping,SSD)層的含有摻質的薄膜層。舉例而言,如熟習此項技術者所熟知,摻雜氧化矽具有廣泛多樣的應用。在一些實施例中,可藉由PEALD型製程在基底上面沉積諸如硼矽酸鹽(BSG)與磷矽酸鹽(PSG)的摻雜氧化矽薄膜。在一些實施例中,可藉由PEALD在基底上面沉積諸如PN、BN、PC或BC膜的摻質薄膜。ALD可提供良好的階梯覆蓋及對摻質量的準確控制。因此在一些實施例中,在三維結構上沉積摻質薄膜,例如形成finFET元件時,在鳍片上形成摻質薄膜。可控制膜的厚度與組成,以生成具有所需特性的摻雜氧化矽膜或其他摻質膜。在一些實施例中,諸如SSD層的摻雜氧化矽膜或其他摻質膜可用來作為摻雜半導體的摻質源。例如摻雜氧化矽膜或其他摻質膜可作為摻質源,將摻質驅入至諸如矽層的下方的半導體層內,所摻雜的半導體層例如為finFET元件的鰭片。在一些實施例中,以未摻雜氧化矽膜包覆摻雜氧化矽膜。
為了簡便,本文所參照的氧化矽化學式為SiO2 。然而熟習此項技術者將明瞭實際上氧化矽的化學式可為SiOx ,x大約為2,只要形成一些Si-O鍵即可。一般形成具有+IV氧化態的矽的氧化矽,且材料中氧的量會根據例如摻質含量而變化。
ALD型製程以受控的自限表面反應為基礎,藉由相繼交替地將反應物與基底接觸以避免氣相反應。例如藉由在反應物脈衝之間從反應室移去過量的反應物且∕或反應副產物,使反應室中的氣相反應物彼此分離。
本文所提出的方法能讓摻雜氧化矽膜與其他摻質膜沉積在基底表面,由於ALD型製程的性質,幾何上有挑戰性的應用也是可能的。根據一些實施例,利用ALD型製程在諸如積體電路工件的基底上面形成摻雜氧化矽膜或其他摻質膜。
將基底或工件置於反應室內並施以交替重複的表面反應,尤其藉由重複自限ALD循環以形成薄膜。較佳地為了形成摻雜氧化矽膜,每一ALD循環包括至少三個不同的相。從反應空間提供與移除反應物可視為一相。在第一相中,提供包含矽的第一反應物,並在基底表面形成不超過約一層的。於本文中,此反應物亦稱為「矽前驅物」或為「矽的反應物」,且可例如為BDEAS。在第二相中,提供包含摻質的第二反應物,並吸附至有效的結合位點,此第二反應物亦可稱為「摻雜前驅物」,第二反應物可包括諸如硼的13族元素(IUPAC新編號,依據舊版IUPAC編號為IIIB族,且依據CAS美國編號為IIIA族)、諸如碳的14族元素,(IUPAC新編號,依據舊版IUPAC編號為IVB族,且依據CAS美國編號為IVA族)、及∕或諸如磷或砷的15族元素(IUPAC新編號,依據舊版IUPAC編號為VB族,且依據CAS美國編號為VA族)。在一些實施例中,第二反應物可例如為硼、磷、碳或砷的前驅物。在第三相中,提供包含反應物種的第三反應物,並將吸附的矽與摻質前驅物轉化成摻雜氧化矽。在一些實施例中,反應物種包括激發物種。在一些實施例中,反應物種包括氧氣電漿、氧原子及∕或氧自由基。在一些實施例中,反應物種包括臭氧。在一些實施例中,矽反應物及∕或摻質反應物包括氧氣,但反應物種不包括。在一些實施例中,反應物種包括氮自由基、氮原子、及∕或氮氣電漿。在一些實施例中,反應物種可包括He或Ar電漿。在一些實施例中,用於形成電漿的氣體可在製程期間持續地流動,但只間歇地被活化。可視需求另外添加相並可移除相,以調整最後膜的組成。
可利用諸如氬氣或氦氣之載氣(carrier gas)的輔助來提供一個或多個反應物,在一些實施例中,利用載氣的輔助來提供矽前驅物與摻質前驅物。在一些實施例中,此些相中的兩個相可重疊或者結合。例如,可在部分或全部重疊的脈衝中同時供應矽前驅物與摻質前驅物。此外,雖然稱為第一、第二、與第三相,以及第一、第二、與第三反應物,相的次序可改變,且ALD循環能自此些相中的任一相開始進行。亦即,可以任何順序來供應反應物,且以任何的反應物開始進行製程,除非明確地表示不是這樣。
如以下更詳盡敘述,在一些實施例中,為了沉積摻雜氧化矽,藉由矽前驅物的供應來開始一次或多次沉積循環,接著供應摻質前驅物與反應氧氣物種。在其他實施例中,藉由供應摻質前驅物來開始一次或多次沉積循環,接著供應矽前驅物與反應氧氣物種。在其他實施例中,藉由供應反應氧氣物種來開始沉積,接著供應矽前驅物或者供應摻質前驅物。
在一些實施例中,為形成其他型的摻質膜,每一ALD循環包括至少兩個不同的相。在第一相中,含有摻質的第一反應物將在基底表面形成不超過約一層的膜,此反應物亦稱為摻質前驅物。此摻質前驅物可包括例如諸如硼的13族元素(IUPAC新編號,依據舊版IUPAC編號為IIIB族,且依據CAS美國編號為IIIA族)、諸如碳的14族元素(IUPAC新編號,依據舊版IUPAC編號為IVB族,且依據CAS美國編號為IVA族)、及∕或諸如磷或砷的15族元素(IUPAC新編號,依據舊版IUPAC編號為VB族,且依據CAS美國編號為VA族)。在一些實施例中,第二反應物可例如為硼、磷、碳及∕或砷之前驅物。在一些實施例中,摻質前驅物包括碳以及另一個來自如13族或15族元素的摻質,例如摻質前驅物可包括硼與碳或者磷與碳。在第二相中,供應含有反應物種的第二反應物,並將吸附的摻質前驅物化合物轉化成摻質膜。在一些實施例中,反應物種包括氧氣電漿、氧原子、及∕或氧自由基。在一些實施例中,反應物種包括臭氧。在一些實施例中,反應物種包括由電漿放電製得的激發物種。在一些實施例中,反應物種包括氮自由基、氮原子、以及∕或氮氣電漿。在一些實施例中,反應物種包括He或Ar電漿。在一些實施例中,藉由在製程期間持續流動的氣體中間歇地形成電漿來形成反應物種,例如藉由從流動的N中間歇地形成N電漿。且可視需要另外添加相,以調整所述膜的組成。
同樣地,利用諸如Ar或He之載氣的輔助可提供一個或多個的反應物。在一些實施例中,利用載氣的輔助來提供摻質前驅物。在一些實施例中,雖然稱為第一相與第二相以及第一與第二反應物,相的次序與反應物供應的順序可改變,且ALD循環能以此些相的任一相開始進行。
在一些實施例中,藉由供應摻質前驅物並接著供應反應物種來開始進行一次或多次的沉積循環。在其他實施例中,藉由供應反應物種並接著供應摻質前驅物來開始進行一次或多次沉積循環。然後反應物種再次供應於下次循環。
在一些實施例中,將如半導體工件之所希望沉積的基底載入反應器內。反應器可為群集工具(cluster tool)的一部分,於其內施行各種不同製程以形成積體電路。在一些實施例中,使用流式反應器。在一些實施例中,使用可製造大體積的單晶圓ALD反應器。在其他實施例中,使用具多基底的批式反應器。對於利用批式ALD反應器的實施例,基底的數目較佳為在10至200的範圍內,再更佳為在50至150的範圍內,且最佳為在100至130的範圍內。
特別設計用以加強ALD製程的示範單晶圓反應器可為購自ASM America, Inc.(Phoenix, AZ)的型號Pulsar® 2000與Pulsar® 3000以及購自ASM Japan K.K(Tokyo, Japan)的型號Eagle® XP與XP8。特別設計用以加強ALD製程的示範批式ALD反應器可為購自ASM Europe B.V(Almere, Netherlands)的型號A4ALD™ and A412™。
在一些實施例中,如果必要,可預處理工件的曝露表面以提供與ALD製程的第一相反應的反應位點。在一些實施例中,不需要單獨的預處理步驟。在一些實施例中,預處理基底以提供所需的表面終止。在一些實施例中,利用電漿來預處理基底。
一般在反應物脈衝之間清洗反應室。每個反應物的流動速率和時間是可調整的,清洗步驟的流動速率和時間也是可調整的,藉此得以控制膜中的摻質濃度與深度分佈。
如上文所述,在一些實施例中,在每一沉積循環或整個ALD製程期間,持續供應氣體至反應室。並藉由在氣體中、反應室中、或反應室的上游產生電漿來提供反應物種。在一些實施例中,所述氣體為氧氣。在其他實施例中,所述氣體可為氮氣、氦氣或氬氣。流動的氣體亦作為第一及∕或第二前驅物以及反應物種的清洗氣體,例如流動的氧氣可做為第一矽前驅物與第二摻質前驅物以及反應性氧氣物種的清洗氣體。在一些實施例中,氮氣、氬氣或氦氣可作為摻質前驅物的清洗氣體,並作為用於將摻質前驅物轉化成摻質膜的激發物種的來源。
重複此循環直到獲得所希望的厚度與組成的膜為止。在一些實施例中,為得到具有所需特性的膜,在ALD製程期間諸如流率、流動時間、清洗時間及∕或前驅物本身等沉積參數可在一次或多次的沉積循環中變化。在一些實施例中,在沉積循環或沉積製程中不供應氫氣及∕或氫氣電漿。
術語「脈衝」可理解為將反應物饋送入反應室內,並持續一段時間。「脈衝」未限制脈衝的長度或延時,且脈衝的時間長度可為任何時間長度。
在一些實施例中,首先供應矽前驅物。在初始表面終止形成(若必要)之後,第一矽前驅物的脈衝供應至工件。根據一些實施例,第一前驅物的脈衝包括載氣流與揮發性矽物種,諸如可與目標工件的表面反應之BDEAS。因此,矽前驅物吸附在工件表面。第一前驅物的脈衝使工件表面自飽和,以便任何第一前驅物脈衝的任何過量成份不會與此製程所形成的分子層進一步反應。
第一矽前驅物的脈衝較佳以氣態供應。如果物種在製程條件下展現足夠的蒸氣壓,以足以使曝露表面飽和的濃度將物種傳送至工件,則依本發明的目的,矽前驅物氣體視為具揮發性。
在一些實施例中,矽前驅物的脈衝為從約0.05秒至約5秒、約0.1秒至約3秒、或約0.2秒至約1秒。
經過足夠時間使分子層吸附到基底表面後,從反應空間移除過量的第一前驅物。在一些實施例中,停止第一化學物流並同時繼續流動載氣或清洗氣體,經充足的時間,以從反應空間擴散或清除過量的反應物及反應副產物,來清洗過量的第一前驅物。在一些實施例中,利用始終在ALD循環中流動的氧氣的輔助來清洗過量的第一前驅物。
在一些實施例中,清洗第一前驅物約0.1至約10秒、約0.3秒至約5秒、或約0.3秒至約1秒。矽前驅物的供應與移除可視為ALD循環的第一相或為矽相。
將第二摻質前驅物脈衝至反應空間,以接觸基底表面。可利用載氣的輔助供應摻質前驅物,摻質前驅物可例如為諸如三乙硼(triethyl boron,TEB)的硼前驅物、諸如亞磷酸三甲酯(trimethylphosphite,TMPI)的磷前驅物。前驅物的脈衝亦較佳以氣態供應。如果物種在製程條件下展現足夠的蒸氣壓,得以將物種以足以使曝露表面飽和的濃度傳送至工件,則依本發明的目的,摻質前驅物視為具揮發性。
在一些實施例中,摻質前驅物的脈衝約0.05秒至約5秒、0.1秒至約3秒、或0.2秒至約1秒。
經過足夠時間使分子膜吸附到基底表面的有效結合位點之後,接著從反應空間移除第二摻質前驅物。在一些實施例中,停止第二化學物流,同時持續流動載氣,經充足的時間,以從反應空間擴散或清除過量的反應物及反應副產物,較佳是以多於約兩個反應室體積,再更佳多於約三個反應室體積的清洗氣體清洗。在一些實施例中,清洗氣體為在ALD製程期間持續流動的氧氣,摻質前驅物的供應與移除可視為ALD循環的第二相或為摻質相。
在一些實施例中,清洗摻質前驅物約0.1秒至約10秒、0.3秒至約5秒、或0.3秒至約1秒。
可調整摻質前驅物的脈衝的流率與時間以及摻質相的清洗步驟,以在摻雜氧化矽膜中達到所需的摻質濃度與深度分佈。由於有限的結合位點數量,摻質前驅物在基底表面的吸附是自限的,但可調整脈衝參數,以便在一次或多次循環中吸附少於單層的摻質。
在第三相中,將如氧氣電漿的反應物種供應至工件。在一些實施例中,在每一ALD循環期間氧氣持續地流至反應室,藉由在反應室內或反應室的上游的氧氣中生成電漿來形成氧氣電漿,例如藉由使氧氣流過遠端電漿產生器。
一般,供應氧氣電漿約0.1秒至約10秒,在一些實施例中,供應氧氣電漿約0.1秒至約10秒、約0.5秒至約5秒、或約0.5秒至約2秒。然而基於反應器類型、基底類型及其表面積,氧氣電漿的脈衝時間甚至可大於10秒。在一些實施例中,脈衝時間可為分鐘等級,習於此技藝者可於特定情況下定義最適脈衝時間。
在一些實施例中,使用從約10 W至約1000 W的射頻電源可產生氧氣電漿,較佳為從約30 W至約500 W,再更佳為從約50 W至約300 W。射頻電源施加於在氧氣電漿的脈衝時間的期間流動的氧氣、持續流過反應室的氧氣、及∕或流過遠端電漿產生器的氧氣。因此在一些實施例中,臨場產生電漿;而在其他實施例中於遠端產生電漿。
經過足夠時間使先前吸附的分子層飽和並與氧氣電漿的脈衝反應後,從反應空間移除任何過量的反應物與反應副產物。關於移除最先的兩個反應物,此步驟可包括停止反應物種的產生並繼續流動氧氣一段充足的時間,以擴散過量的反應物種與揮發性反應副產物,並從反應空間清除。在其他實施例中可單獨使用清洗氣體。在一些實施例中,清洗可從約0.1秒至約10秒、約0.1秒至約4秒、或約0.1秒至約0.5秒。氧氣電漿的供應與移除一同在摻雜氧化矽的ALD循環中代表第三相,且可視為氧化相。
三個相一同表示一個ALD循環,重複此循環以形成所需厚度的摻雜氧化矽薄膜。雖然所述ALD循環一般以矽相開始時,也思考過在其他實施例中能以摻質相或以氧化相開始循環。熟悉此領域的技能者將明瞭第一前驅物的相通常會與先前循環中最後相所留下的終止物反應。因此,雖然如果氧化相為最先ALD循環中的第一相,則先前沒有反應物吸附至基底表面或者出現在反應空間,但在之後的循環中氧化相將有效地跟隨矽相。在一些實施例中,提供一次或多次的ALD循環於沉積製程。
在一些實施例中,摻雜氧化矽的ALD循環包括矽相、摻質相及氧化相,矽相包括提供BDEAS的脈衝至含有基底的反應室,然後移除過量的BDEAS,且基底與摻質相中摻質前驅物的脈衝接觸。摻質前驅物可例如為諸如三乙硼(TEB)的硼摻質前驅物的脈衝或者諸如亞磷酸三甲基酯(TMPI)的磷摻質前驅物的脈衝。如果有任何過量的摻質前驅物與反應副產物的話,清除掉。然後基底與氧氣電漿接觸以形成硼或磷摻雜的氧化矽。氧氣電漿可臨場產生,例如在ALD循環期間持續流動的氧氣中產生。在其他實施例中,氧氣電漿可在遠端產生並供應至反應室。
如上文所述,每一ALD循環的每一脈衝或相較佳為自限的。過量的反應物供應於各相中使易受影響的結構表面飽和。表面飽和確保反應物佔據所有有效的的反應位點(例如受實際尺寸(physical size)或「位阻(steric hindrance)」限制),由此確保優良的階梯覆蓋。在一些實施例中,可縮減一個或多個反應物的脈衝時間,以不達到完全飽和且使少於一單層膜吸附至基底表面。然而,在一些實施例中,摻質前驅物步驟不是自限的,原因例如是因為分解或氣相反應。
如上文所述,在一些實施例中,以矽相開始ALD製程,接著以摻質相,依次為氧化相。然而在其他實施例中,首先是摻質相,其次是矽相與氧化相,例如首先將基底與諸如三乙硼(TEB)的硼摻質前驅物的脈衝或諸如亞磷酸三甲基酯(TMAI)的磷摻質前驅物的脈衝接觸。接著移除過量的摻質前驅物,然後基底與諸如BDEAS的矽前驅物接觸。如果有任何過量的矽前驅物與反應副產物的話,移除掉。基底就能與氧氣電漿接觸以形成硼或磷摻雜的氧化矽。氧氣電漿可臨場(例如在ALD循環期間持續流動的氧氣中產生)或在遠端產生。
在一些實施例中,在任何清洗步驟之前,皆提供矽前驅物與摻質前驅物。因此在一些實施例中,供應矽前驅物的脈衝、供應摻質前驅物的脈衝、且從反應空間清除任何未反應的矽與摻質的前驅物。可相繼地供應矽前驅物與摻質前驅物,清洗步驟由矽前驅物或摻質前驅物、或者由兩者一同開始進行。在一些實施例中,同時供應矽前驅物與摻質前驅物。可選擇摻質前驅物對矽前驅物的比例以得到沉積薄膜中所需的摻質濃度。
在移除未反應的矽與摻質的前驅物後,諸如臭氧或氧自由基、氧原子或氧氣電漿之反應物種或激發物種可在例如流動的氧氣中產生並與基底接觸。再者,在一些實施例中於ALD循環時,氧氣可持續地流至反應空間。在其他實施例中,可在遠端產生諸如氧自由基的激發物種。反應物種或激發物種與吸附的矽與摻質的前驅物反應,以形成一層摻雜氧化矽。
依據一些實施例,圖1是大致繪示可用於沉積摻雜氧化矽薄膜的摻雜氧化矽的ALD沉積循環的流程圖。根據一實施例,藉由具有多次摻雜氧化矽的沉積循環的ALD型製程,在基底上面形成摻雜氧化矽薄膜,每一摻雜氧化矽的沉積循環100包括: 將基底與汽化的矽化合物接觸110,以便矽化合物吸附至基底表面; 將基底與汽化的摻質前驅物化合物接觸120;以及 將基底與氧氣電漿接觸130,以將吸附的矽化合物與摻質前驅物化合物轉化成摻雜氧化矽。氧氣可在所述循環期間持續流動,藉由適時形成的氧氣電漿,將吸附的矽化合物與摻質前驅物轉化成摻雜氧化矽。
如上文所述,在一些實施例中,基底可同時接觸矽化合物與摻質前驅物化合物;而其他實施例中,分開供應此些反應物。
使所述接觸步驟重複140,直到獲得所希望的厚度與組成的薄膜為止。在各接觸步驟之後,從反應空間清除過量的反應物。
依據一些實施例,圖2繪示用以形成摻雜氧化矽薄膜的另一個摻雜氧化矽的ALD循環的流程圖。根據此實施例,藉由具有多次摻雜氧化矽的沉積循環的ALD型製程,在基底上形成摻雜氧化矽薄膜,每一摻雜氧化矽的沉積循環200包括: 將基底與汽化的摻質前驅物化合物接觸210; 移除過量的摻質前驅物215; 將基底與汽化的矽化合物接觸220,以便矽化合物吸附至基底; 移除過量的矽化合物225;以及 將基底與氧氣電漿接觸230,以將吸附的矽化合物與摻質前驅物化合物轉化成摻雜氧化矽。在一些實施例中,氧氣可在所述循環期間持續流動,藉由適時形成的氧氣電漿,將吸附的矽化合物與摻質前驅物轉化成摻雜氧化矽。在一些實施例中,可在遠端產生氧氣電漿。
使所述接觸步驟重複240,直到獲得所希望的厚度與組成的薄膜為止。
如上文所述,在一些實施例中,在無中介的清洗步驟下,能相繼地或同時地供應矽前驅物與摻質前驅物。圖3繪示一實施例,藉由具有多次摻雜氧化矽的沉積循環300的ALD型製程,在基底上面形成摻雜氧化矽薄膜,包括: 將基底與汽化的摻質前驅物化合物及汽化的矽化合物接觸310,以便摻質化合物及矽化合物吸附至基底; 移除過量的摻質前驅物與矽化合物315;以及 將基底與氧氣電漿接觸320,以將吸附的矽化合物與摻質前驅物化合物轉化成摻雜氧化矽,並使所述循環重複330一次或多次。在一些實施例中,氧氣可在所述循環期間持續流動,藉由閒歇形成的氧氣電漿,將吸附的矽化合物與摻質前驅物轉化成摻雜氧化矽。在一些實施例中,可在遠端產生氧氣電漿並供應至反應空間。
如上文所述,沉積製程典型地包括多次ALD沉積循環。在一些實施例中,摻質前驅物供應於每次沉積循環。然而在其他實施例中,摻質前驅物可供應於僅一部份的沉積循環。摻質前驅物所供應的循環可稱為摻質前驅物循環,而無摻質所供應的循環可稱為矽前驅物循環。在一些實施例中,矽前驅物亦供應於上述的摻質前驅物循環。示例的矽前驅物循環可包括例如將基底與矽前驅物及氧氣反應物交替相繼地接觸。在一些實施例中,矽前驅物與用於摻質前驅物循環中的反應物相同;而在一些實施例中,矽前驅物可為不同。在一些實施例中,氧氣反應物種與用於摻質前驅物循環的相同;而在其他實施例中,可使用不同的氧氣反應物種。
可選擇矽前驅物循環對摻質前驅物循環的比例,以控制由PEALD製程所沉積的最終膜的摻質濃度。例如為了達到低摻質密度,摻質前驅物循環對矽前驅物循環的比例可在1:10等級;為了達到較高的摻質濃度,此比例的範圍可在高達約1:1或更高的諸如1.5:1, 2:1, 2.5:1, 3:1, 4:1... 等。在一些實施例中,ALD製程中所有的沉積循環可為摻質前驅物循環,含有摻質的沉積循環對未含有摻質的沉積循環之比例(諸如摻質前驅物循環對矽前驅物循環的比例、或摻質氧化物循環對矽前驅物循環的比例)可視為控制鈕(control knob)。例如如果對每四次矽前驅物循環提供一次摻質前驅物循環,則控制鈕為0.25;如果不使用任何未摻雜氧化物的循環,則控制鈕可為無限大。
藉由控制摻質前驅物循環對矽前驅物循環的比例,摻質濃度可控制在從每cm3 約0個摻質原子至每cm3 約5×1022 個摻質原子的密度範圍。例如藉由二次離子碳針質譜儀(secondary- ion-probe mass spectrometry, SIMS)可量測密度。對於硼及磷的摻雜膜,此較高的範圍接近B2 O3 或P2 O5
此外在沉積製程期間,藉由改變摻質前驅物循環對矽前驅物循環的比例,摻質密度可隨膜厚而變化。例如高摻質密度可供應於基底表面附近(較低的矽前驅物循環對摻質前驅物循環的比例),諸如矽表面附近(對應於摻雜氧化矽表面的底部,如BSG或PSG的表面),而遠離基底的頂部表面的摻質密度可為低的(較高的矽前驅物循環對摻質前驅物循環的比例)。在其他實施例中,由於基底表面附近的密度較低,高摻質密度可供應於頂部表面。
在一些實施例中,藉由在氧化矽沉積製程的某間隔內供應摻質前驅物循環,以形成摻雜氧化矽膜。所述間隔可以例如循環數或厚度為根據,例如在設定每一組預定的矽前驅物的沉積循環數後,可供應一次或多次摻質前驅物的沉積循環,諸如在每10, 20, 50, 100, 200, 500…次等未摻雜氧化矽的沉積循環之後。在一些實施例中,可重複未摻雜氧化矽的沉積循環,直到氧化矽膜達到預定厚度為止,然後進行一次或多次摻質前驅物循環。重覆此製程以便將摻質以特定厚度間隔併入膜中。例如在未摻雜的SiO2 沉積每5 nm之後,供應一次或多次摻質前驅物循環。然後重複此製程,直到已經沉積所希望的厚度與組成的摻雜氧化矽薄膜為止。
在一些實施例中,在生成摻雜氧化矽膜的ALD製程中,隨著未摻雜氧化矽的沉積循環供應一次或多次「摻質氧化物」的沉積循環,此製程亦包含一次或多次摻雜氧化矽的沉積循環。
在「摻質氧化物」的沉積循環中,從上述摻雜氧化矽的沉積循環移除矽前驅物。因此,基底接觸到交替相繼的摻質前驅物與氧化劑(諸如氧氣電漿)的脈衝,且其他反應性氧氣源可用於一些實施例中。在一些實施例中,藉由施行多次的摻質氧化物沉積循環與多次的氧化矽沉積循環以供應摻雜氧化矽膜。可選擇摻質氧化物循環對矽前驅物循環的比例,以控制最終摻雜氧化矽膜的摻質濃度。例如為了達到低摻質密度,摻質氧化物循環對矽前驅物循環的比例可在1:10等級。在其他實施例中,藉由將摻質氧化物循環對矽前驅物循環的比例增加至1:1或甚至更高,諸如1.5:1, 2:1, 2.5:1, 3:1, 4:1... 等,可達到高摻質密度。例如為了達到高摻質密度,諸如高的硼密度,摻質氧化物循環對矽前驅物循環的比例可在6:1等級或甚至10:1。
在沉積製程期間,藉由改變摻質氧化物循環對氧化矽循環的比例,密度可隨膜厚而變化。例如可利用較低的氧化矽循環對摻質氧化物循環的比例,在基底表面附近供應高密度的摻質,且藉由提供較高的氧化矽循環對摻質氧化物循環的比例,頂部表面的摻質密度會降低。
如上文所述,在其他實施例中,藉由ALD製程來沉積非摻雜氧化矽的摻質膜,所述膜可例如為PN膜、BN膜、BC膜、或PC膜。根據一些實施例,藉由具多次沉積循環的ALD製程,諸如PEALD製程,在基底上面形成摻質薄膜,每一沉積循環包括: 將基底與汽化的摻質前驅物接觸; 移除過量的摻質前驅物;以及 將基底與反應物種接觸,以將吸附的摻質前驅物轉化成摻質膜。 藉由載氣的輔助來供應摻質前驅物,摻質前驅物可例如為諸如三乙硼(TEB)的硼前驅物或諸如亞磷酸三甲基酯(TMAI)的磷前驅物,較佳以氣態供應摻質前驅物的脈衝。如果物種在製程條件下展現足夠的蒸氣壓,得以將物種以足以使曝露表面飽和的濃度傳送至工件,則依本發明的目的,摻質前驅物氣體視為具「揮發性」。
在一些實施例中,摻質前驅物的脈衝為約0.05秒至約5秒、0.1秒至約3秒、或0.2秒至約1秒。
經過足夠時間使分子層吸附到基底表面的有效結合位點後,接著從反應空間移除過量的摻質前驅物。在一些實施例中,停止摻質前驅物的氣流,同時繼續流動載氣,經充足的時間,以從反應空間擴散或清除過量的反應物。在一些實施例中,清洗氣體在ALD製程期間持續流動,摻質前驅物的供應與移除可視為如上述ALD循環的摻質相。
在一些實施例中,清洗摻質前驅物約0.1秒至約10秒、0.3秒至約5秒、或0.3秒至約1秒。
可調整摻質前驅物脈衝的流率與時間以及摻質相的清洗步驟,以達到摻質膜中所需的摻質濃度與深度分佈。由於有限的結合位點數量,摻質前驅物吸附在基底表面是自限的,但是可調整脈衝參數以便在一次或多次循環中吸附少於單層的摻質。
在第二相中,提供諸如電漿的反應物種至工件。電漿可例如為氮氣、氬氣、或氦氣電漿,電漿可以不同於本文所述地方式產生,也可於遠端產生或臨場地產生。在一些實施例中,反應物種可供應一個或多個物種至摻質膜,例如包含氮氣的反應物種可供應氮。
一般供應反應物種約0.1秒至約10秒,然而基於反應器類型、基底類型、及其表面積,脈衝時間甚至可大於10秒。在一些實施例中,脈衝時間可為分鐘等級,最適脈衝時間由熟悉此領域的技術者基於特定情況下可容易地界定。
經過足夠時間使先前吸附的分子膜飽和並與反應物種反應後,從反應空間移除任何過量的反應物與反應副產物。此步驟可包括停止反應物種的產生並繼續流動產生反應物種的氣體或載氣一段充足的時間,以擴散過量的反應物種與揮發性反應副產物並從反應空間內清除。在其他實施例中可單獨使用清洗氣體。在一些實施例中,清洗可從約0.1秒至約10秒、約0.1秒至約4秒、或約0.1秒至約0.5秒。反應物種的供應與移除一同在摻質膜的原子層沉積循環中代表第二相,且可視為反應物種的相。
兩個相一同代表一個ALD循環,重複此循環以形成所需厚度的摻質薄膜。可額外添加反應物及∕或相以達到所希望的組成。雖然所述ALD循環一般以摻質相開始,但在其他實施例中,也考慮到能以反應物種的相開始循環。熟悉此領域的技能者將明瞭第一前驅物的相通常會與先前循環中由最後相所留下的終止物反應。因此如果反應物種的相為最先ALD循環中的第一相,即使先前沒有反應物吸附至基底表面或出現在反應空間,在之後的循環中反應物種的相也將有效地跟隨摻質相。在一些實施例中,於沉積製程提供一次或多次的ALD循環。
沉積溫度維持在反應物的熱分解溫度以下,但溫度夠高足以避免反應物冷凝,並提供所需的表面反應的活化能。當然對於任何給定的ALD反應的適當溫度裕度(window)將依表面終止與所涉及的反應物種而定。此處較佳的溫度為約400°C或以下,在一些實施例中,沉積溫度為約20°C至400°C、約50°C至400°C、或約100°C至400°C。
可在廣範圍的壓力條件下進行分解製程,但在減壓下操作製程為較佳。反應室內的壓力標準為從約0.1 Pa至約50000 Pa或更高。然而有些情形下壓力將比此範圍更高或更低,可由熟悉此領域的技術者容易地界定。單晶圓反應器的壓力較佳維持在約50 Pa與 1000 Pa之間,更佳在約100 Pa與 600 Pa之間,且再更佳在約150 Pa與 500 Pa之間。在一些實施例中,批式ALD反應器內的壓力較佳維持在約 0.1 Pa與 70 Pa之間,再更佳在約4 Pa與約 25 Pa之間。
諸如矽源溫度的反應物源溫度較佳設在沉積溫度或基底溫度以下,這是因為,如果來源化學蒸氣的分壓在基底溫度下超過冷凝限制,會危及所控制的層的薄膜生成。
在一些實施例中,矽源溫度為從約20°C至約150°C。在一些實施例中,在沉積期間,矽源溫度比約60°C度高。例如在一些單晶圓製程中,矽源可在約室溫與約100°C之間,摻質前驅物源可為約相同的溫度。在需要更高溫度的一些實施例中,例如在批式ALD,矽源溫度為從約90°C至約200°C,較佳為從約130°C至約170°C。
在一些實施例中,諸如含有摻雜氧化矽的薄膜之薄膜生長速率,較佳為從約0.8 Å/cycle至約2.0 Å/cycle。在其他實施例中,生長速率為約1.0 Å/cycle至約1.5 Å/cycle。
在一些實施例中,含有摻雜氧化矽的沉積薄膜具有從約1.6至約1.9的折射率(由633 nm波長所量測)。在一些實施例中,在633 nm所量測的BSG或PSG的折射率為約1.48。
在一些實施例中,諸如摻雜氧化矽薄膜的沉積薄膜沉積在三維結構上並具有大於約80%、大於約90%、大於約95%的階梯覆蓋或約100%的階梯覆蓋。
在一些實施例中,諸如含有氧化矽的膜的沉積膜具有大於80%的階梯覆蓋。在其他實例中較佳為大於90%;在另外其他實施例中較佳為大於95%。
在一些實施例中,諸如摻雜氧化矽膜的薄膜沉積至5 nm或少於5 nm、或10 nm或少於10 nm的厚度。然而有些情形下,可沉積較大厚度如10 nm或多於10 nm, 30 nm或多於30 nm, 50 nm或多於50 nm或甚至100 nm或多於100 nm之諸如摻雜氧化矽膜的摻質薄膜。特定厚度可由技術人員基於特殊情況來選擇。
來源材料
一般,來源材料(例如矽來源材料與摻質來源材料)選擇能提供充足的蒸氣壓、在基底溫度下具有充足熱穩定性、以及充足的化合物反應性以藉由ALD做有效的沉積為較佳。「充足的蒸氣壓」供應足夠的氣相來源化學分子至基底表面,能以所需的速率在表面自飽和反應。「充足的熱穩定性」意謂來源化學品本身不會透過熱分解在表面形成成長干擾可冷凝相或在基底表面留下有害的雜質。換言之,保持溫度在冷凝限值之上及在所選的反應物蒸氣的熱分解限值之下,目的之一是為避免基底上不受控制的分子的冷凝。「充足的反應性」導致脈衝達到自飽和的時間夠短以允許商業上可接受的投產時間。更多的選擇條件包括高純度化學品的可利用性及化學品操作的簡單性。
在一些實施例中,矽前驅物為胺基矽烷(amimosilane)或胺矽烷(aminesilane)。
在一些實施例中,矽前驅物包括胺基矽烷,其中矽鍵接至一個氮原子與三個氫原子。例如矽前驅物可包括二烷基胺基矽烷(dialkylaminesilane, (R2 N)Si-H3 )。
在一些實施例中,矽前驅物包括矽胺(silicon amine),其中矽鍵接至兩個氮原子與兩個氫原子。例如矽前驅物可包括雙(二甲基胺)矽烷(bis(dialkylamine)silane, (R2 N)2 Si-H2 )。在一些實施例中,矽前驅物包括雙(二乙基胺基)矽烷(bis(diethyl amino)silane, BDEAS)。
在一些實施例中,矽前驅物包括矽胺,其中矽鍵接至三個氮原子與一個氫原子。例如矽前驅物可包括三(二甲基胺基)矽烷(tris(dialkylamine)silane, (R2 N)3 Si-H1 )。
在一些實施例中,矽前驅物包括矽胺,其中矽鍵接至四個氮原子。例如矽前驅物可包括四(二甲基胺基)矽烷(tetrakis (dialkylamine)silane, (R2 N)4 Si)。
具有矽單鍵(Si-Si)與直接接至矽(一個或多個矽原子)或接至與矽鍵接的碳鏈之胺(NHx )基的有機化合物用於一些實施例中。在一些實施例中,矽前驅物可包括諸如六(乙基胺基)矽烷(hexakis(ethylamino)disilane)的胺基二矽烷(amino disilane)。在一些實施例中,矽化合物可具有化學式: RIII 3-x (RII RI N)x Si-Si(N-RI RII )y RIII 3-y , 其中 x選自於1至3; y選自於1至3; RI 選自由H、烷基、與經取代的烷基所構成的族群; RII 選自由烷基與經取代的烷基所構成的族群;以及 RIII 選自由H、氫氧基(-OH)、胺基(-NH2 )、烷氧基、烷基、與經取代的烷基所構成的族群; 且其中每個x, y, RIII , RII 及RI 可彼此各自獨立地選擇。
在一些實施例中,矽化合物為六(單烷基胺基)矽烷(hexakis(monoalkylamino)disilane): (RII -NH)3 Si-Si(NH-RII )3
在其他實施例中,矽化合物為(CH3 -O)3 Si-Si(O-CH3 )3
在一些實施例中,摻質前驅物為硼化合物,示例的硼化合物包括如B(OR)3 的烷氧化硼(boron alkoxide)化合物與如BR3 的烷基硼(alkylboron)化合物。在一些實施例中,摻質前驅物為三甲硼(trimethylboron, (B(CH3 )3 ))或三乙硼(triethyl boron, (B(C2 H5 )3 ))。
在一些實施例中,摻質前驅物為磷化合物。示例的磷化合物包括如P(OR)3 的烷氧化磷(phosphorous alkoxides)與如PR3 的烷基磷(alkylphosphorous)化合物。在一些實施例中,摻質前驅物為三甲磷(trimethylboron, (P(CH3 )3 ))。在一些實施例中可使用磷烷(PH3 )。
在一些實施例中,摻質前驅物為砷化合物。在其他實施例中,摻質前驅物為碳化合物。示例的砷化合物包括砷烷(AsH3 )與如As2 (CH3 )4 的烷基砷(alkylarsenic)化合物。示例的碳的化合物包括醇類(Cx Hy OH)與烴類(Cx Hy )。
如上文所述,在一些實施例中,氧氣電漿用做反應氧氣源,在反應室中,例如從流進反應室的氧氣可生成氧氣電漿。在一些實施例中,氧氣電漿生成於基底附近,例如基底上面。在一些實施例中,氧氣電漿生成於基底附近之外,例如氧氣電漿可遠端生成於反應室外。
SSD 層結構
在一些實施例中,由本發明所揭露的方法所沉積的摻質膜可用於固態摻雜(solid state doping, SSD)層堆疊。圖9表示用於製作FinFet元件的SSD層堆疊的實例,典型的矽翼片(Si fin)由矽晶圓所構成,將具矽翼片結構的晶圓傳送至ALD製程模組,其中第一ALD製程用於沉積SSD層。如圖9所示,然後第二沉積製程可用於沉積帽蓋層(cap layer)。在一些實施例中,在無空斷(air break)的情形下導入第一與第二製程,亦即對SSD層與帽蓋層同時相繼地進行沉積。
在一些實施例中,在SSD層沉積之前,臨場對基底進行電漿預處理以增進矽翼片的摻雜效率。圖10表示由SIMS所量測的以氫氣電漿預處理對將磷驅入矽造成的影響。原先的SSD層為藉由PEALD所沉積的厚度5 nm的PSG層,並具有7 wt%(2.8×1021 atoms/cm3 )的磷濃度。帽蓋層為藉由PEALD所沉積的厚度5 nm的氧化矽膜。快速熱退火條件為於氮氣的環境在1000℃進行4秒。具氫氣電漿預處理樣品的PSG表現較高的磷驅入程度的與淺的擴散深度。氫氣電漿預處理對於FinFet元件設計可提供一些調整空間。預處理未僅侷限於氫氣電漿,在一些實施例中,預處理電漿可選自由氬氣、氦氣、氫氣、包含氣體的氟及其混合氣體的電漿。
如圖9所示且如上述,在一些實施例中,帽蓋層沉積在摻質膜上面。在一些實施例中,帽蓋層直接覆蓋並接觸摻質膜,帽蓋層可包括例如氧化矽(SiO)或氮化矽(SiN)。在一些實施例中,帽蓋層包括13、14、或15族的氧化物或氮化物。圖11A繪示臨場的BSG SSD層的硼老化的帽蓋效應。眾所皆知,高摻質的BSG中的硼濃度隨著過長時間曝露於空氣而減少。在圖11A中,縱軸表示由傅立葉轉換紅外光譜(Fourier Transform Infrared Spectroscopy,FTIR)B-O鍵尖峰面積所計算的BSG SSD層中相對的硼濃度。為此測試,BSG SSD層以控制鈕(CK)為10來沉積並具有~1.2×1022 atoms/cm3 的硼濃度。硼濃度由BSG樣品的B-O鍵尖峰面積來標準化。BSG樣品中無帽蓋與具軟性帽蓋(此為利用低功率50 W沉積厚度5 nm的PEALD SiO帽蓋層)的硼濃度,在沉積曝露至空氣之後立即減少。另一方面,具堅固帽蓋(此為利用高功率500 W沉積厚度5 nm的PEALD SiO帽蓋層)的BSG樣品維持大於80%的初始的硼濃度。圖11B繪示帽蓋對摻質深度的影響。
帽蓋未僅侷限於SiO膜而亦可為諸如SiN、SiON、P(B)N、P(B)ON…等的其他膜,且也可考慮這些膜的堆疊,諸如具有PN(或BN)以及SiN(或SiO)堆疊帽蓋的SSD層。
圖8繪示非SiO材料的帽蓋層之一實例,圖8為FT-IR圖,表示即使曝露至空氣12小時,4 nm的SiN也足以維持下層氧化硼的B-O鍵尖峰。膜厚是維持SSD層中B濃度的一個重要參數。在圖8中,4 nm的膜足以維持硼濃度,但2 nm就不能維持硼濃度。因此,帽蓋層的膜厚可鑑於帽蓋層的特性部份地選擇。如圖11A所示,膜的特性會影響覆蓋能力。例如1 nm較薄的膜厚但具良好特性的膜,可用以維持SSD層的硼濃度。在一些實施例中,帽蓋層的厚度從約1 nm至約10 nm,且可為約4 nm或更厚。
SSD層中摻質的深度分佈可以FinFet元件設計為基礎來選擇。例如圖12A表示SSD膜的相同濃度、圖12B表示SSD膜的調變濃度、以及圖12C表示單層(一個脈衝的化學吸附)。利用以下表5的反應條件沉積圖12A所示的具均勻的深度分佈的PSG層;利用以下表4的條件與圖18的脈衝間隔來沉積在圖12B中示為「調變方法」的深度分度經過調變的BSG膜。硼初始濃度(矽基底與SSD層之間的界面附近)高於SSD層表面(遠離矽基底)的硼濃度。所述SSD層有效地實現高濃度及對矽基底的淺擴散,且藉由考慮元件設計需求,調整摻質前驅物比例與間隔,可容易地調整所述深度分佈。
在一些實施例中,沉積單層的摻質。圖12C表示此單層膜的深度分佈的一實例,此處利用以下表3的條件1沉積所述單層膜。當元件設計指示此條件時,單層的摻質能有效地極淺擴散至矽基底。在僅一次預處理以在矽表面上形成化學吸附的摻質單層膜後,摻質前驅物流進製程模組,例如藉由PEALD SiO以覆蓋SSD單層膜。
緩衝層結構
在一些實施例中,在藉由退火摻質氧化物層以摻雜矽基底期間,諸如摻雜二氧化矽的摻質薄膜可用做緩衝層。例如摻雜二氧化矽的緩衝層可用於諸如摻質氧化物層的摻質膜與諸如SiN帽蓋層的帽蓋層之間。緩衝層可減少或避免摻質從下面的摻質膜擴散至上覆緩衝層的結構。因此,退火期間摻質導入下面的矽基底。此可用於例如在不必修改退火條件下增加摻質密度。在一些實施例中,摻雜氧化矽的緩衝層結構形成在矽基底上面,例如在FinFet元件上面。雖然主要描述以摻雜氧化矽作為緩衝層,如本文所述,可使用並沉積其他摻質膜。
圖13繪示示例的硼摻雜氧化矽的緩衝層結構,且圖14繪示示例的磷摻雜氧化矽的緩衝層結構。如以下所探討,所述結構包括以氫氣電漿處理的矽基底、做為退火期間驅入至矽層內的摻質的來源的上覆摻質氧化物層、減少或避免摻質擴散至上覆結構的摻雜氧化矽緩衝層、以及可減少或避免水氣與摻質氧化物相互作用而具吸濕性的帽蓋層。
在一些實施例中,形成諸如圖13與圖14所述的結構。首先,以諸如氫氣電漿的電漿處理矽基底,電漿處理會產生表面粗糙度並移去原生氧化物(native oxide),此能促進摻質穿透至矽基底內。如圖7所示,矽表面以氫氣電漿處理。如以上所述,在一些實施例中,可臨場進行電漿處理與隨後的沉積製程。
其次,摻質氧化物膜直接沉積覆蓋並接觸所處理的基底。在圖13與圖14所述的實例中,各別使用B2 O3 與P2 O5 層。摻質氧化物膜比上覆摻雜二氧化矽膜包括更多的諸如磷或硼的摻質。藉由ALD例如使用如本文所述的多次摻質氧化物沉積循環,可沉積摻質氧化物膜。然而在其他實施例中,可使用諸如熱化學氣相沉積(CVD)的其他類型的沉積。在一些實施例中,摻質氧化物膜的厚度為從約1 nm至約10 nm、或約2 nm。在一些實施例中,摻質氧化物膜可與摻雜氧化矽膜及∕或帽蓋層同時沉積。
第三,諸如摻雜二氧化矽緩衝層的摻質膜直接沉積覆蓋並接觸摻雜氧化物膜。在圖13與圖14所述的實例中,各別沉積BSG與 PSG。如本文他處所述,摻雜二氧化矽膜的沉積可能是至關重要的。在一些實施例中,摻雜氧化矽膜的厚度約1 nm至約10 nm、或約3 nm。
然後,諸如SiN帽蓋層的帽蓋層沉積在緩衝層上面。圖13與圖14所示,帽蓋層可直接沉積覆蓋並接觸緩衝層。結構中的摻質氧化物膜具有吸濕性質,且帽蓋層會減少任何水氣與下面的摻雜二氧化矽緩衝層及∕或摻質氧化物膜相互作用。如以上所述,圖8為FT-IR圖,表示4 nm的SiN足以避免下面的B2 O3 層與水氣反應。在一些實施例中,帽蓋層的厚度為從約1 nm至約10 nm,且可為約4 nm或更厚。在一些實施例中,使用厚度約4 nm的SiN帽蓋層。
在一些實施例中,例如以上所述,摻雜二氧化矽膜做為摻質源。例如在一些實施例中,單獨沉積摻雜二氧化矽膜在矽基底上面,並退火以將摻質驅入至矽基底內。摻雜二氧化矽膜可例如為BSG或PSG。
在一些實施例中,摻質氧化物膜(諸如B2 O3 或P2 O5 )沉積在矽基底上面,且摻質二氧化矽膜(諸如BSG或PSG)沉積在摻質氧化物上,且以退火將硼驅入至下方的矽基底內。
在一些實施例中,在退火之前,諸如SiN帽蓋層的另一帽蓋層沉積在摻質二氧化矽膜上面。
在一些實施例中,在沉積摻質氧化物膜及/或摻雜二氧化矽膜之前,以諸如氫氣電漿的電漿處理矽基底。電漿處理可移除原生氧化物及/或增加表面粗糙度(如圖7所示),從而更容易將摻質驅入至矽基底內。
圖15繪示以下三種條件的摻質密度差異:對矽基底上的BSG層進行退火、對經氫氣電漿表面處理的矽基底上的BSG層進行退火以及對圖13所示之結構(B2 O3 層沉積在經氫氣電漿表面處理的矽基底上,BSG緩衝層沉積在B2 O3 層上)進行退火。
以下以非限制性的實例將說明實施例。
實例1
藉由PEALD與PECVD在矽晶圓上沉積BSG,矽源是BDEAS,且硼源是三甲基硼酸酯(trimethyl borate)或三乙基硼酸酯(triethyl borate)。如圖4及下表1所示,PEALD BSG表現良好的階梯覆蓋。圖5表示PEALD 與PECVD BSG 的FT-IR光譜。
由SIMS測量摻質密度,且測量晶圓上9個點的摻質密度均勻性。
表1:藉由PEALD沉積BSG
實例2
藉由PEALD與PECVD在矽晶圓上沉積PSG,矽源是BDEAS且磷源是亞磷酸三甲基酯。如圖6及下表2所示,PEALD BSG表現良好的階梯覆蓋。
由SIMS測量摻質密度,且測量晶圓上9個點的摻質密度均勻性。
表2:藉由PEALD沉積PSG
實例3
利用如圖16所示的脈衝序列,藉由PEALD沉積BSG或PSG。如圖所示,在沉積製程期間始終維持反應物流。反應物可例如為氧氣。首先,藉由FPS供應諸如BDEAS的矽源氣體約0.5秒。例如如圖示藉由持續的反應物流動來清洗反應室約1秒。然後供應諸如所示的硼或磷源的摻質源氣體例如約0.5秒,例如如圖示藉由持續的反應物流動來清洗摻質源氣體約1秒。然後供應射頻電漿(RF plasma)例如約1秒,如圖示,例如可採用約50 W的功率以及約300 Pa,在流動的反應物中產生電漿。之後例如藉由持續的反應物流動,在不產生電漿的情形下,再次清洗反應室,例如可清洗約0.5秒。重覆此循環以沉積所需厚度的硼或磷的摻雜氧化矽膜。
實例4
利用氧化硼的沉積循環對未摻雜氧化矽的沉積循環之不同比例,藉由PEALD沉積BSG。在一些實驗中,控制鈕的範圍從0.001至無限大,氧化矽的循環從10至1000,並沉積厚度約0.5 nm至約30 nm的膜。
簡言之,矽基底位於反應室內,且在製程期間讓氧氣持續地流經反應室。在每一未摻雜氧化矽的沉積循環中,將矽前驅物(BDEAS)脈衝至反應室0.3秒。然後清洗矽前驅物0.8秒,並供應射頻電源0.4秒以產生氧氣反應物種,接著再清洗0.1秒。在每一氧化硼的沉積循環中,將硼前驅物(三甲基硼酸酯)脈衝至反應室0.4秒,接著清洗5秒。然後供應射頻電源0.4秒,接著再清洗0.1秒。
下表3描述三個示例的沉積循環,其控制鈕的範圍從0.00167(600次氧化矽的沉積循環對1次氧化硼的沉積循環)至無限大(無任何氧化矽的沉積循環與1000次硼循環)。
表3
圖17A至圖17C繪示不同控制鈕設定(氧化硼循環對氧化矽循環)的硼濃度。表4描述程序1與程序2(圖17A)。藉由改變循環的比例,可在BSG層中得到不同的硼濃度。
表4:程序1與程序2沉積BSG的條件
在一些實驗中,如圖18所示在沉積製程期間,間歇地供應氧化硼沉積循環,以方形與三角形表示摻質循環的供應。在標準方法的實驗中(圖18的方形),以規則的間隔時間供應摻質循環,具體地說,重複未摻雜氧化矽循環直到沉積0.5 nm的氧化矽為止。然後進行單次氧化硼循環。其後在每增加1.0 nm的氧化矽之後,供應一次氧化硼沉積循環直到已經沉積5 nm的膜為止。如以上所述的沉積循環是重要的。
在其他實驗中,如圖18(三角形)所示的調變方法,早於沉積製程之前,供應另外的氧化硼循環。如圖18所見,在氧化矽沉積第一個厚度0.5 nm時,供應三個氧化硼沉積循環。當膜到達1.5 nm與4 nm時,供應另外的氧化硼沉積循環。同樣如以上所述的沉積循環是重要的。
圖19A與圖19B表示摻質濃度對具均勻的深度分佈的膜(圖19A)以及藉由上述標準方法與調變方法所沉積的膜(圖 19B)的深度的分佈。
實例5
利用氧化磷的沉積循環對未摻雜氧化矽的沉積循環之不同比例,藉由PEALD沉積PSG,製程條件如下表5所示。簡言之,矽基底位於反應室內,且在製程期間讓氧氣持續地流經反應室。在每一未摻雜氧化矽的沉積循環中,將矽前驅物(BDEAS)脈衝至反應室0.3秒。然後清洗矽前驅物0.8秒,並供應射頻電源0.4秒以在流動的氧氣中產生氧氣反應物種,接著清洗0.1秒。在每一氧化磷的沉積循環中,將磷前驅物(亞磷酸三甲基酯)脈衝至反應室0.4秒,接著清洗5秒。然後供應射頻電源0.4秒,接著再清洗0.1秒。對大多數的實驗都使用90次沉積循環,以產生厚度約5 nm的膜。
圖20A至圖20C繪示不同控制鈕設定(氧化磷循環對氧化矽循環)的磷濃度。藉由改變循環的比例,可在PSG層中得到不同的磷濃度。
表5:PSG沉積條件
進一步分析利用一個氧化磷循環對一個未摻雜氧化矽循環的循環比例(控制鈕為1)所形成的PSG膜。圖19A表示磷濃度對薄膜深度的分佈。
實例6
利用實例5的條件藉由PEALD在矽基底上面沉積PSG。沉積之後不用將膜退火,使用氫氟酸濕蝕刻(HF-dip)來移除PSG層(圖21D)。並測量磷濃度對深度的分佈(圖21E)。圖21E表示在沉積PSG層期間,磷沒有明顯穿透至下方的矽基底內。
實例7
利用下表6的條件藉由PEALD在矽基底上沉積PSG。
表6:PSG沉積條件
在1000°C下進行3秒燈管型快速熱退火(RTA),以將硼驅入至基底內(圖21A)。下表7提供退火條件。退火之後,藉由HF-dip來移除摻雜氧化矽膜(圖21A),並量測基底中不同深度的磷濃度(圖21C)。圖21C繪示退火之後磷穿透至基底內的情形。
表7:退火條件
亦沉積BSG膜並退火,使用下表8的條件沉積BSG膜,隨後使用表7的條件來退火。然而在BSG沉積之前,以氫氣電漿處理基底,表9提供氫氣電漿處理的條件。圖21F繪示量測得的硼濃度在對沉積膜中的深度分佈,並表示在沉積BSG層期間,硼沒有明顯穿透至下方的矽基底內。
表8:BSG沉積條件
表9:預處理的條件
退火與HF-dip之後,量測硼濃度對深度的分佈。結果如圖21B所示,表示退火之後硼穿透至基底內的情形。
實例8
利用做為磷前驅物的亞磷酸三甲基酯與做為反應物種的氮氣電漿,藉由PEALD在矽基底上面沉積氮化磷。下表10提供沉積條件。如圖22所示,持續地流動氮氣並間歇地提供射頻電源以產生電漿。可觀察到0.015 nm/cycle的生長速率,且氮化磷膜具有約5%的均勻性以及約1.66的折射率。在實驗中,在PEALD氮化磷層上沉積SiN帽蓋層。圖23表示藉由SIMS所量測的沉積的膜疊層中相對於深度的磷濃度。圖24表示藉由SIMS所量測的退火後矽基底的磷濃度。
表10
100‧‧‧摻雜氧化矽的沉積循環
110‧‧‧將基底與汽化的矽化合物接觸
120‧‧‧將基底與汽化的摻質前驅物化合物接觸
130‧‧‧將基底與氧氣電漿接觸
140‧‧‧重複
200‧‧‧摻雜氧化矽的沉積循環
210‧‧‧將基底與汽化的摻質前驅物化合物接觸
215‧‧‧移除過量的摻質前驅物
220‧‧‧將基底與汽化的矽化合物接觸
225‧‧‧移除過量的矽化合物
230‧‧‧將基底與氧氣電漿接觸
240‧‧‧重複
300‧‧‧摻雜氧化矽的沉積循環
310‧‧‧將基底與蒸發的摻質前驅物化合物及汽化的矽化合物接觸
315‧‧‧移除過量的摻質前驅物與矽化合物
320‧‧‧將基底與氧氣電漿接觸
330‧‧‧重複
圖1是根據一實施例而大致繪示的摻雜氧化矽薄膜形成方法之流程圖。 圖2是大致繪示用於摻雜氧化矽薄膜形成方法的脈衝序列之流程圖。 圖3是大致繪示用於摻雜氧化矽薄膜形成方法的脈衝序列之流程圖。 圖4為表示在三維基底上藉由如本發明所述的PEALD(左圖)與以PECVD(右圖)所沉積BSG的兩個顯微相片圖。 圖5為比較藉由本發明所述之PEALD與以PECVD所沉積BSG膜含量的FT-IR光譜。在PEALD與PECVD之間的FT-IR光譜幾乎相同。 圖6為表示在三維基底上藉由如本發明所述的PEALD(左圖)與以PECVD(右圖)所沉積PSG的兩個顯微相片圖。 圖7為以氫氣電漿處理的矽基底的顯微相片圖。 圖8繪示在B2 O3 層上面的4 nm SiN帽蓋的對時間的帽蓋效應之FT-IR光譜。觀察到良好的帽蓋效應。 圖9繪示已沉積SSD層與帽蓋層的矽翼片結構。 圖10繪示由SIMS所量測的以氫氣電漿預處理對將磷驅入矽的影響。 圖11A繪示對於BSG SSD層的硼老化的臨場帽蓋效應。 圖11B繪示帽蓋對摻質穿透的影響。 圖12A繪示SSD膜中均勻的磷摻質濃度。 圖12B比較以標準方法與調變方法所沉積膜的硼摻質濃度。 圖12C繪示在含有摻質單層膜的摻質膜中的硼摻質濃度。 圖13繪示使用BSG緩衝層的結構。 圖14繪示使用PSG緩衝層的結構。 圖15繪示退火之後矽層中不同深度的硼濃度。所有實驗採用相同的退火條件。 圖16繪示用以形成BSG或PSG層的反應物脈衝的流動型態。 圖17A至圖17C繪示硼濃度對控制鈕(硼前驅物對矽前驅物的比例)的分佈。 圖18表示在摻雜氧化矽沉積製程中相對於總厚度提供氧化硼沉積循環。 圖19A與圖19B繪示磷均勻的深度分佈(左圖)以及比較調變方法與標準方法的硼的調變深度分佈。 圖20A至圖20C繪示磷濃度對控制鈕(磷前驅物對矽前驅物的比例)的分佈。 圖21A至圖21F繪示利用根據RTA的燈來退火的硼與磷的摻質驅入以及無退火情形下的驅入。 圖22繪示沉積PN層的沉積順序。 圖23表示在含有PN層的SSD層結構中的磷濃度。 圖24繪示PN層退火後矽基底的磷濃度。
100‧‧‧摻雜氧化矽的沉積循環
110‧‧‧將基底與汽化的矽化合物接觸
120‧‧‧將基底與汽化的摻質前驅物化合物接觸
130‧‧‧將基底與氧氣電漿接觸
140‧‧‧重複

Claims (40)

  1. 一種沉積摻雜氧化矽的方法,其是藉由原子層沉積(ALD)製程,將摻雜氧化矽沉積在反應室內的基底上,該原子層沉積製程包括至少一次摻雜氧化矽沉積循環,其中該摻雜氧化矽沉積循環包括:將該基底與矽前驅物接觸;將該基底與摻質前驅物接觸;自該基底移除過量的該矽前驅物與該摻質前驅物;以及接著將該基底與反應物種接觸以形成摻雜氧化矽。
  2. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中自該基底移除過量的該矽前驅物與該摻質前驅物包括使該基底暴露至清洗氣體。
  3. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該反應物種包括氧氣。
  4. 如申請專利範圍第3項所述之沉積摻雜氧化矽的方法,其中該反應物種包括氧氣的非激發物種。
  5. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該反應物種包括氮氣的激發物種。
  6. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該原子層沉積製程為電漿輔助原子層沉積(PEALD)製程。
  7. 如申請專利範圍第6項所述之沉積摻雜氧化矽的方法,其中在該電漿輔助原子層沉積製程的期間,氧氣持續地流至該反應室。
  8. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中在將該基底與該矽前驅物以及該摻質前驅物接觸之後,提供氧氣至該反應室。
  9. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該基底同時與該矽前驅物以及該摻質前驅物接觸。
  10. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中將該基底與該矽前驅物接觸包括提供該矽前驅物的脈衝至該反應室。
  11. 如申請專利範圍第10項所述之沉積摻雜氧化矽的方法,其中將該基底與該摻質前驅物接觸包括提供該摻質前驅物的脈衝至該反應室。
  12. 如申請專利範圍第11項所述之沉積摻雜氧化矽的方法,其中該矽前驅物的該脈衝以及該摻質前驅物的該脈衝至少部分重疊。
  13. 如申請專利範圍第11項所述之沉積摻雜氧化矽的方法,其中該矽前驅物的該脈衝以及該摻質前驅物的該脈衝同時供應至該反應室。
  14. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該摻雜氧化矽沉積循環依序包括: 將該基底與該矽前驅物接觸;將該基底與該摻質前驅物接觸;以及提供氧氣至該反應室,其中在提供該氧氣至該反應室的期間產生電漿,以形成該摻雜氧化矽。
  15. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該摻雜氧化矽沉積循環依序包括:將該基底與該摻質前驅物接觸;將該基底與該矽前驅物接觸;自該反應室移除過量的該矽前驅物;以及藉由在提供氧氣至該反應室時產生電漿使該基底與該反應物種接觸,以形成該摻雜氧化矽。
  16. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該摻雜氧化矽沉積循環依序包括:將該基底與該摻質前驅物接觸;將該基底與該反應物種接觸;將該基底與該矽前驅物接觸;以及藉由在該反應室內產生氧氣電漿使該基底與該反應物種接觸,以形成摻雜氧化矽膜,其中在該摻雜氧化矽沉積循環期間,氧氣始終流至該反應室。
  17. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中在將該基底與該矽前驅物接觸之後,在將該基底與該摻質前驅物接觸之前移除過量的該矽前驅物。
  18. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中在該摻雜氧化矽沉積循環中,在將該基底與該矽前驅物接觸之前,將該基底與該摻質前驅物接觸。
  19. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中將該基底與該反應物種接觸包括於該基底上產生電漿。
  20. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中將該基底與該反應物種接觸包括於該反應室外產生電漿。
  21. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該矽前驅物包括Si-N鍵。
  22. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該矽前驅物為胺基矽烷(amimosilane)或胺矽烷(aminesilane)。
  23. 如申請專利範圍第22項所述之沉積摻雜氧化矽的方法,其中該矽前驅物為二烷基胺基矽烷(dialkylaminesilane)或六(單烷基胺基)矽烷(hexakis(monoalkylamino)disilane)。
  24. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該摻質前驅物為硼化合物。
  25. 如申請專利範圍第24項所述之沉積摻雜氧化矽的方法,其中該硼化合物為烷基硼(alkylboron)化合物或烷氧化硼(boron alkoxide)化合物。
  26. 如申請專利範圍第25項所述之沉積摻雜氧化矽的方法,其中該硼化合物為B(OR)3、BR3、(B(CH3)3)或(B(C2H5)3)。
  27. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該摻質前驅物為磷化合物。
  28. 如申請專利範圍第27項所述之沉積摻雜氧化矽的方法,其中該磷化合物為烷基磷(alkyl phosphorous)化合物或烷氧化磷(phosphorous alkoxide)化合物。
  29. 如申請專利範圍第28項所述之沉積摻雜氧化矽的方法,其中該磷化合物為P(OR)3、PR3、(P(CH3)3)或PH3
  30. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該摻雜氧化矽沉積在三維結構上面並具有至少約80%階梯覆蓋。
  31. 如申請專利範圍第1項所述之沉積摻雜氧化矽的方法,其中該原子層沉積製程更包括至少一次氧化矽沉積循環,該氧化矽沉積循環包括:將該基底與該矽前驅物接觸;以及將該基底與含有氧氣的反應物種接觸,以形成氧化矽。
  32. 如申請專利範圍第31項所述之沉積摻雜氧化矽的方法,其中將該基底與含有氧氣的該反應物種接觸之前,移除過量的該矽前驅物。
  33. 一種用於沉積摻雜氧化矽至基板上的原子層沉積製程,該原子層沉積製程包括至少一次沉積循環,該沉積循環依序包括:將該基底與矽前驅物接觸;將該基底與摻質前驅物接觸;使該基底暴露至清洗氣體;以及將該基底與反應物種接觸以形成摻雜氧化矽。
  34. 如申請專利範圍第33項所述之用於沉積摻雜氧化矽至基板上的原子層沉積製程,其中該反應物種包括氧氣。
  35. 如申請專利範圍第33項所述之用於沉積摻雜氧化矽至基板上的原子層沉積製程,其中該反應物種包括氧氣的非激發物種。
  36. 如申請專利範圍第33項所述之用於沉積摻雜氧化矽至基板上的原子層沉積製程,其中該反應物種包括氮氣的激發物種。
  37. 如申請專利範圍第33項所述之用於沉積摻雜氧化矽至基板上的原子層沉積製程,其中該原子層沉積製程為電漿輔助原子層沉積(PEALD)製程。
  38. 如申請專利範圍第33項所述之用於沉積摻雜氧化矽至基板上的原子層沉積製程,其中該矽前驅物為胺基矽烷(amimosilane)或胺矽烷(aminesilane)。
  39. 如申請專利範圍第33項所述之用於沉積摻雜氧化矽至基板上的原子層沉積製程,其中該摻質前驅物為硼化合物或磷化合物。
  40. 一種用於沉積摻雜氧化矽至基板上的原子層沉積製程,該原子層沉積製程包括至少一次沉積循環,該沉積循環包括:將該基底同時與矽前驅物及摻質前驅物接觸;使該基底暴露至清洗氣體;以及將該基底與反應物種接觸以形成摻雜氧化矽。
TW105109310A 2011-11-04 2012-11-01 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程 TWI606136B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201161556033P 2011-11-04 2011-11-04
US201261620769P 2012-04-05 2012-04-05

Publications (2)

Publication Number Publication Date
TW201627520A TW201627520A (zh) 2016-08-01
TWI606136B true TWI606136B (zh) 2017-11-21

Family

ID=48223961

Family Applications (3)

Application Number Title Priority Date Filing Date
TW105109310A TWI606136B (zh) 2011-11-04 2012-11-01 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
TW101140618A TWI541377B (zh) 2011-11-04 2012-11-01 形成摻雜二氧化矽薄膜的方法
TW106133244A TWI627303B (zh) 2011-11-04 2012-11-01 將摻雜氧化矽沉積在反應室內的基底上的方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW101140618A TWI541377B (zh) 2011-11-04 2012-11-01 形成摻雜二氧化矽薄膜的方法
TW106133244A TWI627303B (zh) 2011-11-04 2012-11-01 將摻雜氧化矽沉積在反應室內的基底上的方法

Country Status (3)

Country Link
US (10) US8679958B2 (zh)
KR (3) KR101950909B1 (zh)
TW (3) TWI606136B (zh)

Families Citing this family (407)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
TWI549163B (zh) * 2011-09-20 2016-09-11 應用材料股份有限公司 減少摻質擴散之表面穩定化製程
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
TWI606136B (zh) * 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
WO2014120392A1 (en) * 2013-01-30 2014-08-07 Applied Materials, Inc. Methods for forming a molecular dopant monolayer on a substrate
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9824881B2 (en) * 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9576790B2 (en) 2013-10-16 2017-02-21 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9362109B2 (en) 2013-10-16 2016-06-07 Asm Ip Holding B.V. Deposition of boron and carbon containing materials
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9929014B2 (en) * 2013-11-27 2018-03-27 Entegris, Inc. Dopant precursors for mono-layer doping
US9401273B2 (en) 2013-12-11 2016-07-26 Asm Ip Holding B.V. Atomic layer deposition of silicon carbon nitride based materials
CN103744008B (zh) * 2013-12-12 2016-02-03 华为技术有限公司 确定电路老化性能的方法和装置
US10050035B2 (en) 2014-01-17 2018-08-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making protective layer over polysilicon structure
TWI686499B (zh) 2014-02-04 2020-03-01 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9059043B1 (en) * 2014-02-11 2015-06-16 International Business Machines Corporation Fin field effect transistor with self-aligned source/drain regions
KR102195139B1 (ko) 2014-02-20 2020-12-24 삼성전자주식회사 반도체 장치의 제조 방법
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
EP3425675A1 (en) 2014-06-26 2019-01-09 Intel Corporation Non-planar semiconductor device having omega-fin with doped sub-fin region
US20160002784A1 (en) * 2014-07-07 2016-01-07 Varian Semiconductor Equipment Associates, Inc. Method and apparatus for depositing a monolayer on a three dimensional structure
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105373299A (zh) * 2014-08-25 2016-03-02 深圳富泰宏精密工业有限公司 电子装置及其显示界面调整方法
US9576792B2 (en) 2014-09-17 2017-02-21 Asm Ip Holding B.V. Deposition of SiN
US9601333B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Etching process
US9558946B2 (en) 2014-10-03 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods of forming FinFETs
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
RU2597647C2 (ru) * 2014-12-15 2016-09-20 Акционерное общество "Рязанский завод металлокерамических приборов" (АО "РЗМКП") Способ легирования полупроводниковых пластин
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
CN105990239B (zh) 2015-02-06 2020-06-30 联华电子股份有限公司 半导体元件及其制作方法
US9478415B2 (en) * 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10763103B2 (en) 2015-03-31 2020-09-01 Versum Materials Us, Llc Boron-containing compounds, compositions, and methods for the deposition of a boron containing films
US9978866B2 (en) 2015-04-22 2018-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10062567B2 (en) 2015-06-30 2018-08-28 International Business Machines Corporation Reducing autodoping of III-V semiconductors by atomic layer epitaxy (ALE)
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) * 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US10410857B2 (en) 2015-08-24 2019-09-10 Asm Ip Holding B.V. Formation of SiN thin films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102527897B1 (ko) 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9397002B1 (en) 2015-11-20 2016-07-19 International Business Machines Corporation Self-aligned punchthrough stop doping in bulk finFET by reflowing doped oxide
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US20170170018A1 (en) * 2015-12-14 2017-06-15 Lam Research Corporation Conformal doping using dopant gas on hydrogen plasma treated surface
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
CN106952822A (zh) * 2016-01-07 2017-07-14 中芯国际集成电路制造(上海)有限公司 改善鳍式场效应管性能的方法
US9583489B1 (en) 2016-01-08 2017-02-28 International Business Machines Corporation Solid state diffusion doping for bulk finFET devices
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
CN107180761A (zh) * 2016-03-09 2017-09-19 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10192775B2 (en) 2016-03-17 2019-01-29 Applied Materials, Inc. Methods for gapfill in high aspect ratio structures
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
JP6613213B2 (ja) * 2016-07-26 2019-11-27 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10056381B2 (en) 2016-09-08 2018-08-21 Globalfoundries Inc. Punchthrough stop layers for fin-type field-effect transistors
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) * 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
JP7169072B2 (ja) 2017-02-14 2022-11-10 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) * 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP6817883B2 (ja) * 2017-04-25 2021-01-20 東京エレクトロン株式会社 成膜方法
US11158500B2 (en) 2017-05-05 2021-10-26 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
JP7183187B2 (ja) * 2017-05-16 2022-12-05 エーエスエム アイピー ホールディング ビー.ブイ. 誘電体上の酸化物の選択的peald
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US11056353B2 (en) 2017-06-01 2021-07-06 Asm Ip Holding B.V. Method and structure for wet etch utilizing etch protection layer comprising boron and carbon
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
KR102217847B1 (ko) * 2017-08-11 2021-02-19 한양대학교 산학협력단 절연막의 제조 방법, 및 이를 이용한 절연막
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10872762B2 (en) * 2017-11-08 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming silicon oxide layer and semiconductor structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
KR20190065962A (ko) 2017-12-04 2019-06-12 에이에스엠 아이피 홀딩 비.브이. 유전체와 금속 표면 상에 SiOC의 균일한 증착
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US20190249303A1 (en) * 2018-02-09 2019-08-15 Asm Ip Holding B.V. Chemical precursors and methods for depositing a silicon oxide film on a substrate utilizing chemical precursors
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR102577602B1 (ko) 2018-03-13 2023-09-12 삼성전자주식회사 메모리 장치용 테스트 챔버, 이를 갖는 메모리 장치용 테스트 시스템 및 이를 이용한 메모리 장치의 테스트 방법
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10580645B2 (en) 2018-04-30 2020-03-03 Asm Ip Holding B.V. Plasma enhanced atomic layer deposition (PEALD) of SiN using silicon-hydrohalide precursors
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TWI816783B (zh) 2018-05-11 2023-10-01 荷蘭商Asm 智慧財產控股公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US11328928B2 (en) 2018-06-18 2022-05-10 Applied Materials, Inc. Conformal high concentration boron doping of semiconductors
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) * 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
WO2020061491A1 (en) * 2018-09-21 2020-03-26 Lam Research Corporation Low-k ald gap-fill methods and material
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10777469B2 (en) 2018-10-11 2020-09-15 International Business Machines Corporation Self-aligned top spacers for vertical FETs with in situ solid state doping
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
EP3671813A1 (en) 2018-12-21 2020-06-24 IMEC vzw Si-passivated ge gate stack
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20210132221A (ko) * 2019-03-22 2021-11-03 램 리써치 코포레이션 도핑된 실리콘을 제공하는 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
KR20210011748A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11133178B2 (en) 2019-09-20 2021-09-28 Applied Materials, Inc. Seamless gapfill with dielectric ALD films
FI129628B (en) * 2019-09-25 2022-05-31 Beneq Oy Method and apparatus for processing a substrate surface
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
EP4040468A1 (en) * 2019-09-30 2022-08-10 Kyocera Corporation Method for manufacturing semiconductor element, and semiconductor device
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
JP2021172884A (ja) 2020-04-24 2021-11-01 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム含有層を形成する方法および窒化バナジウム含有層を含む構造体
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
FI130211B (fi) * 2020-10-29 2023-04-24 Beneq Oy Puolijohdeseostusmenetelmä ja välivaiheen puolijohdetuote
US11447865B2 (en) * 2020-11-17 2022-09-20 Applied Materials, Inc. Deposition of low-κ films
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
TW202240007A (zh) * 2021-02-25 2022-10-16 荷蘭商Asm Ip私人控股有限公司 形成磷矽酸鹽玻璃層之方法、使用其方法形成的結構以及用於執行其方法的系統
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US20230017874A1 (en) * 2021-06-24 2023-01-19 Asm Ip Holding B.V. Cyclical deposition methods and structures formed using the methods
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6689220B1 (en) * 2000-11-22 2004-02-10 Simplus Systems Corporation Plasma enhanced pulsed layer deposition
US9139906B2 (en) 2001-03-06 2015-09-22 Asm America, Inc. Doping with ALD technology
US7713592B2 (en) * 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US20050287747A1 (en) * 2004-06-29 2005-12-29 International Business Machines Corporation Doped nitride film, doped oxide film and other doped films
KR100640638B1 (ko) * 2005-03-10 2006-10-31 삼성전자주식회사 원자층 증착법에 의한 고유전막 형성 방법 및 고유전막을 갖는 반도체소자의 제조 방법
KR100660890B1 (ko) * 2005-11-16 2006-12-26 삼성전자주식회사 Ald를 이용한 이산화실리콘막 형성 방법
US20090203197A1 (en) * 2008-02-08 2009-08-13 Hiroji Hanawa Novel method for conformal plasma immersed ion implantation assisted by atomic layer deposition
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
TWI606136B (zh) * 2011-11-04 2017-11-21 Asm國際股份有限公司 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程

Also Published As

Publication number Publication date
US20180211834A1 (en) 2018-07-26
KR20190120151A (ko) 2019-10-23
US20170338111A1 (en) 2017-11-23
US11302527B2 (en) 2022-04-12
US9368352B2 (en) 2016-06-14
KR102103800B1 (ko) 2020-04-24
US20150147875A1 (en) 2015-05-28
KR102035701B1 (ko) 2019-10-23
US9153441B2 (en) 2015-10-06
TWI541377B (zh) 2016-07-11
US20130115763A1 (en) 2013-05-09
TW201802285A (zh) 2018-01-16
KR101950909B1 (ko) 2019-02-21
US9564314B2 (en) 2017-02-07
US20200185218A1 (en) 2020-06-11
US10147600B2 (en) 2018-12-04
US20230031720A1 (en) 2023-02-02
US20200388487A1 (en) 2020-12-10
US10784105B2 (en) 2020-09-22
TW201627520A (zh) 2016-08-01
KR20190019979A (ko) 2019-02-27
US9875893B2 (en) 2018-01-23
KR20130049752A (ko) 2013-05-14
US20160196970A1 (en) 2016-07-07
TW201326448A (zh) 2013-07-01
TWI627303B (zh) 2018-06-21
US10510530B2 (en) 2019-12-17
US8679958B2 (en) 2014-03-25
US20150017794A1 (en) 2015-01-15
US20190172708A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
TWI606136B (zh) 沉積摻雜氧化矽的方法以及用於沉積摻雜氧化矽至基板上的原子層沉積製程
KR102192161B1 (ko) GeO2의 원자층 증착
US11367613B2 (en) Deposition of SiN
KR101882905B1 (ko) 박막을 퇴적하는 방법
US9401273B2 (en) Atomic layer deposition of silicon carbon nitride based materials
JP2021061414A (ja) 低温でのSiNの蒸着用Si前駆体
JP5307513B2 (ja) Ald法又はcvd法による金属含有膜の調製