KR20190065962A - 유전체와 금속 표면 상에 SiOC의 균일한 증착 - Google Patents

유전체와 금속 표면 상에 SiOC의 균일한 증착 Download PDF

Info

Publication number
KR20190065962A
KR20190065962A KR1020180153601A KR20180153601A KR20190065962A KR 20190065962 A KR20190065962 A KR 20190065962A KR 1020180153601 A KR1020180153601 A KR 1020180153601A KR 20180153601 A KR20180153601 A KR 20180153601A KR 20190065962 A KR20190065962 A KR 20190065962A
Authority
KR
South Korea
Prior art keywords
substrate
plasma
reactant
sioc
deposition
Prior art date
Application number
KR1020180153601A
Other languages
English (en)
Inventor
링윈 지아
빌리아미 포레
에바 토이스
선자 김
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20190065962A publication Critical patent/KR20190065962A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

기판의 둘 이상의 상이한 표면들 상에 SiOC를 퇴적하기 위한 플라즈마 강화 원자층 증착(PEALD) 공정이 제공된다. 예를 들어, SiOC는 제1 유전체 표면 및 제2 금속 또는 금속성 표면 상에 동시에 퇴적될 수 있다. PEALD 프로세스는 2개의 표면들 상에 SiOC를 형성하기 위한 2 이상의 퇴적 사이클을 포함할 수 있다. 퇴적 주기는 기판을 실리콘을 포함하는 제1 전구체 그리고 Ar/H2 플라즈마와 같은 제2 플라즈마 반응물을 교대로 그리고 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 실시 예들에서, PEALD 프로세스는 퇴적 사이클을 시작하기 전에 기판을 플라즈마 반응물과 접촉시키는 단계를 더 포함한다. 일부 실시 예들에서, 퇴적 사이클은 500 회 이상 반복되고, 균일한 SiOC 막이 2개의 상이한 표면들 상에 형성될 수 있다.

Description

유전체 및 금속 표면들 상에 SiOC의 균일한 퇴적{UNIFORM DEPOSITION OF SiOC ON DIELECTRIC AND METAL SURFACES}
관련 출원의 상호 참조
본 출원은 2017년 12월 4일에 출원된 미국 가출원 번호 제62/594,474호의 우선권을 주장하며, 본원에 참조로서 전체 원용된다.
기술분야
본 출원은 일반적으로 실리콘 옥사이드 카바이드(SiOC)의 플라즈마 강화 원자층 증착(PEALD)에 관한 것이다.
반도체 산업에서는 low-K 스페이서(LKS)를 상이한 기판 표면 상에 우수한 균일성으로 증착할 필요성이 있다. 이러한 상이한 표면은 예를 들어, 금속과 유전체의 상이한 물질로 구성될 수 있다. 2개 이상의 상이한 기판 표면 상에 단독 물질의 균일 증착은, 예를 들어 소자 제조에서의 단계 수를 감소시켜서 이로울 수 있다. 저 유전상수(k) 및 예를 들어, HF와 같은 산 기반의 에칭 용액에서 저 에칭율을 갖는 유전체 물질이 또한 필요하다.
일 양태에 따라, 기판의 2개 이상의 표면 상에 SiOC를 증착하기 위한 플라즈마 강화 원자층 증착(PEALD) 공정이 제공된다. 일부 양태에서 PEALD 공정은, 제1 표면 및 제2 표면을 포함하는 기판을 제공하는 단계(상기 제1 표면은 상기 제2 표면과 상이한 물질을 포함함) 및 2개의 표면 상에 SiOC를 형성하기 위해 2개 이상의 증착 사이클을 수행하는 단계를 포함할 수 있다. 증착 사이클은, 실리콘을 포함하는 제1 전구체와 제2 플라즈마 반응물을 기판과 교대 순차적으로 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서 PEALD 공정은, 증착 사이클을 시작하기 전에 플라즈마 반응물을 기판과 접촉시키는 단계를 더 포함한다. 일부 구현예에서, 증착 사이클은 500회를 초과하여 반복된다. 일부 구현예에서, SiOC막은 제1 표면과 제2 표면 상에 균일하게 증착된다. 일부 구현예에서, SiOC막은 4 미만의 k 값을 갖는다.
일부 구현예에서, 제1 표면은 금속 표면이고, 제2 표면은 유전체 표면이다. 일부 구현예에서, 유전체 표면은 SiO2를 포함한다. 일부 구현예에서, 금속 표면은 텅스텐(W)을 포함한다.
일부 구현예에서, 제1 전구체는 실리콘 전구체이다. 일부 구현예에서, 실리콘 전구체는 조성식 Si(ORI)4-xRII x(x=0 내지 3이고, RI는 1 내지 5개의 탄소를 갖는 알킬이고, RII는 탄소 및/또는 수소 및/또는 산소를 포함하는 임의의 리간드이고, 모든 RI와 RII기는 독립적으로 선택될 수 있음)를 갖는다. 일부 구현예에서, RII는 알케닐, 알키닐, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시, 또는 히드로페록시 작용기를 갖고, 모든 RI와 RII기는 서로 독립적으로 선택될 수 있다. 일부 구현예에서, 제1 전구체는 MPTMS이다.
일부 구현예에서, 플라즈마 반응물은 Ar/H2 플라즈마를 포함한다. 일부 구현예에서, 플라즈마 반응물은 산소 종을 포함하지 않는다.
일부 구현예에서, 제1 전구체는 MPTMS이고 제2 반응물은 Ar/H2 플라즈마를 포함한다.
일부 구현예에서, 기판의 제1 유전체 표면 및 동일한 기판의 제2 금속 표면 상에 SiOC 박막을 증착하는 방법은, 실리콘을 포함하는 제1 반응물과 산소 종을 포함하지 않는 제2 플라즈마 반응물에 교대 순차적으로 기판을 접촉시키는, 2개 이상의 증착 사이클을 포함한다. 일부 구현예에서, 제1 반응물은 MPTMS이고 제2 반응물은 Ar/H2 가스에서 생성된 플라즈마이다. 일부 구현예에서, 유전체 표면은 SiO2를 포함하고 금속 표면은 텅스텐(W)을 포함한다.
일부 구현예에서, SiO2를 포함하는 기판의 제1 표면과 텅스텐(W)을 포함하는 기판의 제2 표면 상에 SiOC를 증착하기 위한 PEALD 공정이 기술된다. PEALD 공정은, MPTMS를 포함하는 제1 반응물을 기판과 접촉시키는 단계, 퍼지 가스를 기판과 접촉시키는 단계, Ar/H2 플라즈마를 기판과 접촉시키는 단계, 및 퍼지 가스를 기판과 재접촉시키는 단계를 순차적으로 포함하는 2개 이상의 증착 사이클을 포함한다. 일부 구현예에서, 공정은 제1 증착 사이클을 시작하기 전에 플라즈마 반응물을 기판과 접촉시키는 단계를 더 포함한다. 일부 구현예에서, SiOC는 제1 표면 및 제2 표면 상에 균일하게 증착된다.
도 1은 유전체 및 금속 두 표면 상에 증착된 균일한 SiOC층의 개략도이다.
도 2는 200°C와 200 W에서의 텅스텐(W)과 SiO2 패턴 상에 증착된 SiOC막의 STEM이다. 왼쪽 사진은 1000회의 증착 사이클 이후의 막을 나타낸다. 오른쪽 사진은 500회의 증착 사이클 이후의 막을 나타낸다.
도 3은 500회의 증착 사이클 이후에 12 nm PVD TiN 금속 표면 상에 증착된, MPTMS 관련된 SiOC의 XRR 스펙트럼을 나타낸다.
실리콘 옥사이드 카바이드(SiOC)막은, 예를 들어 집적 회로 제조 분야와 같이 당업자에게 명백할 정도로 광범위하게 다양한 응용을 갖는다. 일부 양태에서 SiOC막은, 예를 들어 도 1에 도시된 하나의 금속 표면과 하나의 유전체 표면과 같이, 2개 이상의 상이한 표면 상에 증착된다. 일부 구현예에서 SiOC막은 2개 이상의 상이한 표면 상에 단일 증착 공정에 의해서 증착된다. 예를 들어, SiOC막은 2개의 상이한 표면 상에 플라즈마 강화 원자층 증착(PEALD) 공정에 의해서 증착될 수 있다. 일부 양태에서, 예를 들어 HF 또는 묽은 HF에서 저 에칭율을 갖는 SiOC막은, 제1 재료를 포함하는 제1 표면과 제2의 상이한 재료를 포함하는 제2 표면 상에, 산소가 없는 PEALD공정에 의해서 증착된다. 일부 구현예에서 공정은 Si-알콕시 기반 전구체와 Ar/H2 플라즈마와 같은 플라즈마를 사용한다. 다양하게 상이한 기판 표면 상의 균일한 막 증착을 달성하기 위해, 공정은 조절될 수 있다.
일부 구현예에 따라 SiOC막은, 제1 실리콘 알콕시 전구체와 Ar/H2 플라즈마와 같은 플라즈마 반응물을 기판과 교대로 접촉시키는 단계를 포함하는 PEALD공정을 사용하여 증착된다. 제1 전구체는 산소 및 실리콘 모두를 포함할 수 있다. 막은, 유전체 표면과 같은 제1 표면 상에, 그리고 금속 또는 금속성 표면과 같은 제2 상이한 표면 상에서 성장한다. 과량의 반응물 및/또는 반응 부산물은, 퍼지 가스에 기판을 노출시키는 것과 같이, 접촉시키는 단계 사이에서, 기판 표면으로부터 제거될 수 있다.
일부 구현예에서, 유전체 표면과 같은 제1 표면, 및 금속 표면과 같은 제2 상이한 표면을 포함하는 기판이 제공된다. 기판은, 실리콘 알콕시 전구체와 같은 실리콘 전구체, 및 Ar/H2 플라즈마와 같은 플라즈마 반응물과 교대 순차적으로 접촉한다. 플라즈마와 실리콘 전구체는, 과량의 반응물과 반응 부산물(있는 경우)을 기판 표면으로부터 제거하는 퍼지에 의해서, 분리된 펄스로 제공될 수 있다. 일부 구현예에서 제1 표면과 제2 표면은, 실리콘 반응물 펄스와 플라즈마 펄스 사이의 퍼지 가스에 접촉한다.
일부 구현예에서, 실리콘 반응물 펄스, 및 반응 순서, 또는 증착 사이클로 시작하는 증착 공정은 원하는 횟수(A)를 반복할 수 있다:
A x (실리콘 전구체 펄스/퍼지/플라즈마 펄스/퍼지)
일부 구현예에서, 증착 사이클은 플라즈마 펄스로 시작하고 다음에 실리콘 전구체 펄스가 따른다.
일부 구현예에서, 증착 사이클은 2개 이상의 상이한 표면 상에 균일한 SiOC막이 증착될 때까지 반복된다. 일부 구현예에서, 증착 사이클은 적어도 100회, 적어도 200회, 적어도 300회, 적어도 400회, 또는 적어도 500회 반복된다. 일부 구현예에서, 증착 사이클은 적어도 1000회 반복된다. 증착 사이클은 2개 이상의 상이한 표면 상에 원하는 두께의 막이 형성될 때까지 반복될 수 있다.
반응물 특성, 온도, 펄스 및 퍼지 시간, 플라즈마 전력, 증착 사이클 횟수와 같은 특정 공정 조건을 선택함으로써, 2개 이상의 상이한 표면 상의 증착은 원하는 수준의 균일도로 달성될 수 있다. 일부 구현예에서 플라즈마 전력과 같은 증착 조건은, 성장이 2개 이상의 상이한 표면 상에서 균일하게 진행되도록 미세 조정될 수 있다.
일부 구현예에서 막은, 적어도 제1 표면과 제2 상이한 표면 사이에서 75 % 미만, 50 % 미만, 40 % 미만, 30 % 미만, 20 % 미만, 15 % 미만, 10 % 미만, 9 % 미만, 8 % 미만, 7 % 미만, 6 % 미만, 5 % 미만, 4 % 미만, 3 % 미만, 2 % 미만 또는 심지어 1% 미만 정도로 변하는 두께를 갖는 경우에, 균일하게 증착된 것으로 간주된다. 일부 구현예에서 두께는, 특정 표면 위에 증착된 막의 평균 두께로서 측정된다. 일부 구현예에서 균일도는, 2개의 상이한 표면 사이에 측정된 실제 두께 변화로서 측정된다.
일부 구현예에서 플라즈마 반응물은 수소 기반이다. 예를 들어 H2 가스, 또는 Ar과 같은 희귀 가스와 H2 가스의 혼합물에서, 플라즈마는 생성될 수 있다. 일부 구현예에서 플라즈마는 H2와 Ar가스의 혼합물(Ar/H2 플라즈마로 지칭됨)에서 생성된다. 일부 구현예에서, 플라즈마는 산소 가스가 없다. 즉, 플라즈마는 산소를 포함하지 않는 가스 또는 가스 혼합물에서 생성된다.
일부 구현예에서 수소를 함유하는 플라즈마와 같은 플라즈마는, 약 5 W 내지 약 5000 W, 10 W 내지 2000 W, 약 50 W 내지 약 1000 W, 약 100 W 내지 500 W의 RF 전력을 반응물 가스 또는 가스 혼합물에 인가함으로써 생성될 수 있다. 일부 구현예에서, RF 전력 밀도는 약 0.02 W/cm2 내지 약 2.0 W/cm2, 또는 약 0.05 W/cm2 내지 약 1.5 W/cm2일 수 있다. RF 전력은, 플라즈마 접촉 시간 동안 유동하고, 반응 챔버를 통해 연속적으로 유동하고/하거나 원격 플라즈마 발생기를 통해 유동하는 가스에 인가될 수 있다. 따라서, 일부 구현예에서 플라즈마는 원 위치에서 생성되는 반면, 다른 구현예에서 플라즈마는 원격으로 생성된다. 일부 구현예에서, 샤워헤드 반응기가 활용되고, 플라즈마는 서셉터(그 상부에 기판이 위치함)와 샤워헤드 플레이트 사이에서 생성된다.
일부 구현예에서 증착 속도는 두 표면 상에서 유사하다. 일부 구현예에서 증착 속도는 2개 이상의 상이한 표면 사이에서 상이하다. 예를 들어, 일부 구현예에서 표면 중 다른 하나에 대해 표면 중 하나 상의 잠복(incubation) 시간이 더 길 수 있다. 일부 구현예에서, 한 표면 상의 성장율은 다른 표면 상의 것과 상이할 수 있다. 일부 구현예에서, 하나 이상의 표면 상의 증착 속도는 시간에 따라 변할 수 있다. 예를 들어, 한 표면의 증착 속도는 증가할 수 있는 반면에 제2 표면의 증착 속도는 일정하게 유지될 수 있다.
일부 구현예에서 증착 시간은, 동일한 기판의 유전체와 금속 표면 모두와 같이 2개 이상의 상이한 표면 상에, 유사하고 상대적으로 균일한 두께의 SiOC막의 증착이 달성되도록 선택될 수 있다.
일부 구현예에서 증착 사이클 횟수는, 기판의 2개 이상의 상이한 표면 상에 유사하고 상대적으로 균일한 두께의 SiOC막의 증착이 달성되도록 선택된다.
일부 구현예에서, 증착 공정은 낮은 공정 처리 온도에서 수행된다. 일부 구현예에서 증착 온도는 약 500°C 미만, 약 400°C 미만, 약 300°C 미만, 약 200°C 미만, 또는 약 100°C 미만이다. 일부 구현예에서 SiOC막은 약 100°C 내지 약 300°C에서 증착된다. 일부 구현예에서 SiOC막은 약 150°C 내지 약 250°C에서 증착된다. 일부 구현예에서 SiOC막은 약 200°C의 온도에서 증착된다.
일부 구현예에서 SiOC막은, 동일한 증착 공정에서 2개 이상의 상이한 표면 상에 증착된다. 일부 구현예에서 SiOC막은 예를 들어, 각각 상이한 물질을 포함하는 3개 이상의 상이한 표면, 각각 상이한 물질을 포함하는 4개 이상의 상이한 표면 등과 같이, 2개 이상의 상이한 물질을 포함하는 2개 이상의 상이한 표면 상에 균일하게 증착된다. 일부 구현예에서, 2개 이상의 상이한 표면이 동일한 기판 상에 있다. 일부 구현예에서, 2개 이상의 표면은 인접한다.
일부 구현예에서 SiOC막은 기판 모든 표면 상에 증착된다.
일부 구현예에서 SiOC막은 2개 이상의 상이한 표면 상에 증착되고, 상기 2개 이상의 상이한 표면은 예를 들어, 금속, 옥사이드, 산화 금속, 자연적인 금속 옥사이드, 자연적인 SiO2, Si, 유전체, SiO2, 및/또는 Si3N4를 포함한다.
일부 구현예에서 SiOC막은 2개의 상이한 표면 상에 증착되고, 이 중 하나는 유전체 물질이고, 다른 하나는 금속 또는 금속성 물질을 포함한다.
일부 구현예에서 유전체 표면은 예를 들어, SiO2 표면 또는 저 유전 상수 표면일 수 있다. 일부 구현예에서 유전체 물질은 SiO2, SiN 및 폴리실리콘 중 하나 이상을 포함한다. 일부 구현예에서, 유전체 물질은 SiO2이다. 일부 구현예에서, 유전체 물질은 SiN이다. 일부 구현예에서, 유전체 물질은 폴리실리콘이다.
일부 구현예에서 SiOC막은, 제1 표면과 상기 제1 표면과는 상이한 물질을 포함하는 제2 표면 모두 위에 증착된다. 예를 들어 SiOC막은, 제1 금속 또는 금속성 표면 상에, 그리고 제2 유전체 표면 상에 증착될 수 있다. 일부 구현예에서 SiOC막은, SiO2 표면 및 금속 표면 상에 증착된다. 일부 구현예에서 SiOC막은, SiO2 표면 및 텅스텐(W) 표면 상에 증착된다. 일부 구현예에서 SiOC막은, SiO2 표면 및 TiN 표면 상에 증착된다. 일부 구현예에서 SiOC막은, 텅스텐(W) 표면 및 SiN 표면 상에 증착된다. 일부 구현예에서 SiOC막은, TiN 표면 및 SiN 표면 상에 증착된다. 일부 구현예에서 SiOC막은, 텅스텐(W) 표면 및 폴리실리콘 표면 상에 증착된다. 일부 구현예에서 SiOC막은, TiN 표면 및 폴리실리콘 표면 상에 증착된다.
일부 구현예에서 SiOC막은, 제1 표면과 상기 제1 표면과는 상이한 물질을 포함하는 제2 표면 모두 위에 우수한 균일성으로 증착된다. 예를 들어 SiOC막은, 제1 금속 또는 금속성 표면 상에, 그리고 제2 유전체 표면 상에 동시에 그리고 균일하게 증착될 수 있다. 일부 구현예에서 SiOC막은, SiO2 표면 및 금속 표면 상에 균일하게 증착된다. 일부 구현예에서 SiOC막은, SiO2 표면 및 텅스텐(W) 표면 상에 균일하게 증착된다. 일부 구현예에서 SiOC막은, SiO2 표면 및 TiN 표면 상에 균일하게 증착된다. 일부 구현예에서 SiOC막은, 텅스텐(W) 표면 및 SiN 표면 상에 균일하게 증착된다. 일부 구현예에서 SiOC막은, TiN 표면 및 SiN 표면 상에 균일하게 증착된다. 일부 구현예에서 SiOC막은, 텅스텐(W) 표면 및 폴리실리콘 표면 상에 균일하게 증착된다. 일부 구현예에서 SiOC막은, TiN 표면 및 폴리실리콘 표면 상에 균일하게 증착된다.
달리 표시하지 않으면, 표면이 본원에서 금속 표면으로서 지칭된 경우에는 금속 표면 또는 금속성 표면일 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 금속, 금속 옥사이드, 및/또는 이들의 혼합물을 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면은 표면 산화를 포함할 수 있다. 일부 구현예에서, 금속 또는 금속성 표면의 금속 또는 금속성 재료는 표면 산화 유무에 상관없이 전기적으로 전도성이다. 일부 구현예에서, 금속 또는 금속성 표면은 하나 이상의 전이 금속을 포함한다. 일부 구현예에서, 금속 표면 또는 금속성 표면은 Al, Cu, Co, Ni, W, Nb, 및 Fe 중 하나 이상을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 텅스텐(W)을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 루테늄과 같이 하나 이상의 귀금속을 포함한다. 일부 구현예에서, 금속 또는 금속성 표면은 전도성 금속 옥사이드, 나이트라이드, 카바이드, 보라이드, 또는 이들의 조합을 포함한다. 일부 구현예에서, 기판은 TiN 및/또는 TaN을 포함하나 이에 제한되지 않는 금속 나이트라이드를 포함한다. 일부 구현예에서, 제2 금속 표면은 금속 카바이드를 포함할 수 있다. 일부 구현예에서, 제2 금속 표면은 금속 칼코지나이드를 포함할 수 있다.
실리콘 옥시카바이드막의 조성식은 편의상 및 간략화를 위해 본원에서 일반적으로 SiOC로서 지칭된다. 본원에서 사용되는 바와 같이 SiOC는, 예를 들어 임의의 Si, O, C 및/또는 막 내의 임의의 다른 원소의 산화 상태와 같은 화학 상태 또는 결합을 제한, 제약 또는 정의하려고 의도하지 않는다. 일부 구현예에서, SiOC 박막은 Si, O, 및 C 외에 하나 이상의 원소를 더 포함할 수 있다.
일부 구현예에서 SiOC는 원자 기반으로 약 0 % 내지 약 30 %의 탄소를 포함할 수 있다. 일부 구현예에서 SiOC막은 원자 기반으로 약 0 % 내지 약 60 %의 산소를 포함할 수 있다. 일부 구현예에서 SiOC막은 원자 기반으로 약 0 % 내지 약 50 %의 실리콘을 포함할 수 있다.
일부 구현예에서, SiOC막은 저 유전 상수(k) 값을 갖는다. 일부 구현예에서, SiOC막은 4 미만의 k 값을 갖는다.
일부 구현예에서 반도체 처리 대상물과 같이, 증착을 원하는 기판이 반응 공간 또는 반응기 내로 로딩된다. 반응기는 집적 회로의 형성에 있어 다양한 상이한 공정들이 수행되는 클러스터 툴의 일부일 수 있다. 일부 구현예에서, 유동형 ALD 반응기가 사용된다. 일부 구현예에서, 샤워헤드형 ALD 반응기가 사용된다. 일부 구현예에서, 공간 분할 반응기가 사용된다. 일부 구현예에서, 대량 생산 가능 단일 웨이퍼 ALD 반응기가 사용된다. 다른 구현예에서, 다수의 기판을 포함하는 배치식 반응기가 사용된다. 배치식 ALD 반응기가 사용되는 구현예에서, 기판의 수는 10 내지 200개, 50 내지 150개, 100 내지 130개 범위이다.
사용될 수 있는 적합한 반응기의 예는 상업적으로 이용 가능한 장비들, 예컨대 ASM America Inc.(피닉스, 애리조나) 및 ASM Europe B.V.(알메러, 네덜란드)의 F-120® 반응기, F-450® 반응기, Pulsar® 반응기(예컨대, Pulsar® 2000 및 Pulsar® 3000), EmerALD® 반응기 및 Advance® 400 시리즈를 포함한다. 다른 상업적으로 이용 가능한 반응기는 Eagle® XP 및 XP8의 상표명을 가진 ASM Japan K.K. (일본, 동경)사의 제품들을 포함한다.
일부 구현예에서, 필요하거나 원하는 경우, 하나 이상의 기판 노출 표면은 PEALD 공정의 제1 페이즈로 반응하기 위한 반응성 부위를 제공하기 위해 전처리될 수 있다. 일부 구현예에서는 별도의 전처리 단계가 요구되지 않는다. 일부 구현예에서, 기판은 원하는 표면 말단을 제공하기 위해 전처리된다. 일부 구현예에서, 하나 이상의 기판 표면은 플라즈마로 전처리된다. 일부 구현예에서 SiOC가 증착되려는 2개 이상의 표면은, 예를 들어 수소(H) 플라즈마와 같은 플라즈마로 전처리된다.
일부 구현예에서 금속 또는 금속성 표면은 증착 전에 표면 처리를 한다. 예를 들어, 금속 또는 금속성 표면은 플라즈마 노출에 의해 처리될 수 있다. 일부 구현예에서 금속 또는 금속성 표면은 증착 전에 수소(H) 플라즈마로 처리될 수 있다. 일부 구현예에서 텅스텐(W) 표면은 증착 전에 수소(H) 플라즈마로 처리된다.
일부 구현예에서 기판은, 제1 실리콘 전구체 및 제2 플라즈마 반응물과 접촉한다. 일부 구현예에서, 증착 공정은 할로겐이 없다. 일부 구현예에서, 증착 공정은 산소가 없다.
일부 구현예에서, 실리콘 전구체는 다음 식(1)을 가진다.
1. Si(ORI)4-xRII x
(x=0 내지 3이고, RI는 1 내지 5개의 탄소를 갖는 알킬이고, RII 는 탄소 및/또는 수소 및/또는 산소를 포함하는 임의의 리간드이고, RII는 예를 들어 알케닐, 알키닐, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시 또는 히드로페록시 작용기를 가질 수 있으며, 모든 RI와 RII 기는 서로로부터 독립적으로 선택될 수 있음)
일부 구현예에서, 실리콘 전구체는 MPTMS이다. 화합물 MPTMS의 예에서, RI는 메틸이고, RII는 3-메톡시프로필이고, x는 1이다.
전술한 바와 같이, 일부 구현예에서 PEALD 공정은 산소 플라즈마 또는 산소 종을 포함하는 플라즈마를 포함하지 않는다.
일부 구현예에서 SiOC막을 형성하는 데 있어서, PEALD 사이클 각각은 적어도 2개의 상이한 페이즈를 포함한다. 기판으로부터 반응물을 접촉시키고 제거하는 단계는 하나의 페이즈로 간주될 수 있다.
제1 페이즈에서, 실리콘을 포함하는 제1 기상 반응물은 기판과 접촉하여 증착을 원하는 기판 표면 상에 약 하나의 단일층만을 형성한다. 이 반응물은 본원에서 "실리콘 전구체", "실리콘 함유 전구체", 또는 "실리콘 반응물"로도 언급되며, 예를 들어 3-메톡시프로필트리메톡시실란(MPTMS)일 수 있다. 일부 구현예에서, 제1 반응물은 실리콘 및 산소를 포함한다.
제2 페이즈에서, 반응 종을 포함하는 제2 반응물은 기판과 접촉하여, 유전체 표면 상에서 흡착된 실리콘을 SiOC로 전환시킬 수 있다. 전술한 바와 같이, 일부 구현예에서 제2 반응물은 H2/Ar 플라즈마와 같은 수소 플라즈마를 포함한다.
일부 구현예에서 플라즈마 형성에 사용된 가스는, 증착 공정 전체에 걸쳐 일정하게 흐를 수 있지만 단속적으로만 활성화될 수 있다.
일부 구현예에서, 플라즈마 형성에 사용된 가스는 산소를 포함하지 않는다. 일부 구현예에서, 흡착된 실리콘 전구체는 산소 플라즈마에 의해 생성된 반응 종과 접촉하지 않는다. 일부 구현예에서, 반응 종을 포함하는 제2 반응물은 산소를 포함하지 않는 가스에서 생성된다. 예를 들어 일부 구현예에서 제2 반응물은, 산소를 포함하지 않는 가스에서 생성된 플라즈마를 포함할 수 있다. 일부 구현예에서 제2 반응물은, 약 1 원자%(at%) 미만의 산소, 약 0.1 원자% 미만의 산소, 약 0.01 원자% 미만의 산소, 또는 심지어 약 0.001 원자% 미만의 산소를 포함하는 가스에서 생성될 수 있다.
최종막의 조성을 조정하기를 원할 때에, 추가적인 페이즈가 추가될 수 있고 제거될 수 있다.
SiOC막을 증착하기 위한 일부 구현예에서, 하나 이상의 증착 사이클은, 실리콘 전구체를 기판과 접촉시키는 것으로 시작한 다음 제2 플라즈마 반응물을 접촉시킨다. 다른 구현예에서, 증착은 제2 플라즈마 반응물을 기판과 접촉시키는 것으로 시작한 다음 실리콘 전구체를 접촉시킨다.
과량의 반응물 및 반응 부산물은(있는 경우), 반응물 접촉 페이즈 사이에서 기판 근방으로부터 특히, 기판 표면으로부터 제거된다. 일부 구현예에서 과량의 반응물 및 반응 부산물은(있는 경우), 예를 들어 반응물 접촉 페이즈 사이에서의 반응 챔버를, 불활성 가스로 퍼지하는 것과 같이, 퍼지에 의해서 기판 표면으로부터 제거된다. 각 반응물의 유속과 접촉 시간은, 제거 단계와 마찬가지로 조정 가능하여, 막의 품질과 다양한 특성을 제어할 수 있다.
증착 사이클은, 원하는 두께의 SiOC막이 원하는 표면 상에 얻어질 때까지 반복된다. 일부 구현예에서 전구체 유속, 접촉 시간, 제거 시간 및/또는 전구체들 자체와 같은 증착 파라미터는, 원하는 특징을 갖는 막을 2개 이상의 상이한 표면 상에 얻기 위해서, PEALD 공정 동안에 하나 이상의 증착 사이클에서 변화할 수 있다.
일부 구현예에서, 제2 플라즈마 반응물은 둘 이상의 구분되는 펄스로 제공되며, 둘 이상의 펄스 중 임의의 펄스 사이에 또 다른 반응물을 도입하지 않는다. 예를 들어 일부 구현예에서 플라즈마는, 두 개의 순차적인 펄스로 제공되며, 상기 순차적인 플라즈마 펄스 사이에 Si-전구체를 도입하지 않는다. 일부 구현예에서 플라즈마를 제공하는 동안에 둘 이상의 순차적인 플라즈마 펄스는, 제1 기간 동안 플라즈마 방전을 제공하고, 제2 기간 동안, 예를 들어 약 0.1 초 내지 약 10 초, 약 0.5 초 내지 약 5 초, 또는 약 1.0 초 내지 약 4.0 초 동안 플라즈마 방전을 소멸시키고, Si 전구체의 도입 또는 퍼지 단계 이전과 같이 다른 전구체의 도입 또는 제거 단계 이전의 제3 기간 동안 이를 다시 여기시킴으로써 생성된다. 플라즈마의 추가적인 펄스가 동일한 방식으로 도입될 수 있다. 일부 구현예에서, 플라즈마는 펄스 각각에서 동등한 시간 동안 점화된다.
일부 구현예에서, 과량의 반응물 및 반응 부산물(있는 경우)을 제거하는 단계는 반응 챔버를 퍼지하는 단계를 포함할 수 있다. 일부 구현예에서 반응 챔버는, 과량의 반응물 및 반응 부산물(있는 경우)을 반응 공간으로부터 확산시키거나 퍼지시키기에 충분한 시간 동안 캐리어 가스 또는 퍼지 가스를 계속 흐르게 하면서 제2 반응물의 흐름을 정지시킴으로써 퍼지될 수 있다. 일부 구현예에서, 과량의 제2 전구체는 PEALD 사이클 전체에 걸쳐 흐르는 질소 또는 아르곤과 같은 불활성 가스의 도움으로 퍼지된다. 일부 구현예에서, 기판은 제2 반응물이 포함된 반응 공간으로부터 다른 반응 공간으로 이동될 수 있다. 일부 구현예에서, 제거는 약 0.1 초 내지 10 초, 약 0.1 초 내지 약 4 초 또는 약 0.1 초 내지 약 0.5 초일 수 있다. 이와 함께 반응 종의 접촉 및 제거는, SiOC 원자층 증착 사이클에서 제2의 반응 종 페이즈를 나타낸다.
본 개시의 일부 구현예에 따라, 공정 처리 동안의 반응 챔버 압력은 약 0.01 토르 내지 약 50 토르, 또는 약 0.1 토르 내지 약 10 토르로 유지된다. 일부 구현예에서, 반응 챔버의 압력은 약 6 토르 또는 약 20 토르를 초과한다.
일부 구현예에 따라 SiOC 박막은, 반응 공간에서 기판의 2개 이상의 상이한 표면 상에, 적어도 하나의 사이클을 포함하는 PEALD 증착 공정에 의해서 증착되며, 상기 적어도 하나의 사이클은 다음 단계를 포함한다.
실리콘 종이 기판 표면 상으로 흡착되도록 실리콘 반응물을 기판과 접촉시키는 단계;
과량의 실리콘 반응물 및 반응 부산물(있는 경우)을 기판 표면으로부터 제거하는 단계;
플라즈마에 의해 생성된 반응 종을 포함하는 제2 반응물을 기판과 접촉시키는 단계;
과량의 제2 반응물 및 반응 부산물(있는 경우)을 기판 표면으로부터 제거하는 단계; 및
2개 이상의 상이한 표면 상에 원하는 두께와 조성의 SiOC 박막을 형성하기 위해 접촉 및 제거 단계를 선택적으로 반복하는 단계.
실시예
실리콘 전구체로서 3-메톡시프로필(트리메톡시실란)(MPTMS)를 사용하여 PEALD에 의해 SiOC막을 증착하기 위해 직접식 플라즈마 PEALD 반응기가 사용되었다. 화합물의 증기압이 충분히 높기 때문에, 기상 반응물을 생성하기 위하여 전구체를 가열하는 것이 요구되지 않았다. 200°C의 증착 온도에서 실험이 수행되었다. 공정의 사이클당 성장율(GPC)은 전형적으로 ~0.2 Å/c이었다. 도 2는 텅스텐(W) 및 SiO2의 인접한 수평 표면을 포함하는 기판 상에 200°C로 증착된 SiOC막의 SEM 이미지를 나타낸다.
도 2의 오른쪽 사진에 나타난 바와 같이, 500 사이클 후에 SiO2 표면 상에 SiOC막이 증착되었지만, 인접한 텅스텐(W) 표면 상에는 거의 아무 증착도 관찰되지 않았다. 그러나, 도 2의 왼쪽 사진에 나타난 바와 같이 좀 더 긴 증착 시간 이후에, 특정적으로 1000 사이클 이후에, SiOC는 유사한 양으로 텅스텐(W) 및 SiO2 표면 상에 증착되었다. SiOC층 두께의 일부 차이가 텅스텐(W)과 SiO2 표면 사이에 관찰될 수 있지만, 두께 차이는 좀 더 긴 증착과 함께 감소될 것으로 예상된다. 관찰된 결과는, SiO2 표면 상에서보다 텅스텐(W) 표면 상에서 SiOC 증착을 위하여 더 긴 잠복 시간이 있었음을 표시한다.
도 3은, 실리콘 전구체로 MPTMS를 사용하여 500 사이클 후에, 약 6.2 nm의 SiOC막이 TiN 표면 상에 증착되었고, 자연 산화물 표면 상에서 관찰된 것보다 사이클당 성장이 조금 더 낮음을 표시함을 나타낸다.

Claims (21)

  1. 기판의 2개 이상의 상이한 표면 상에 SiOC를 증착하기 위한 플라즈마 강화 원자층 증착(PEALD) 방법으로서,
    제1 표면과 제2 표면을 포함하는 기판을 제공하는 단계(상기 제1 표면은 상기 제2 표면과 상이한 물질을 포함함); 및
    실리콘을 포함하는 제1 전구체와 제2 플라즈마 반응물을 상기 기판과 교대 순차적으로 접촉시키는 것을 포함하는 2개 이상의 증착 사이클을 수행하는 단계를 포함하는 방법.
  2. 제1항에 있어서, 상기 제1 표면은 금속 표면이고 상기 제2 표면은 유전체 표면인 방법.
  3. 제2항에 있어서, 상기 유전체 표면은 SiO2를 포함하는 방법.
  4. 제2항에 있어서, 상기 금속 표면은 텅스텐(W)을 포함하는 방법.
  5. 제1항에 있어서, 상기 플라즈마 반응물은 Ar/H2 플라즈마를 포함하는 방법.
  6. 제1항에 있어서, 상기 플라즈마 반응물은 산소 종을 포함하지 않는 방법.
  7. 제1항에 있어서, 상기 제1 전구체는 실리콘 전구체인 방법.
  8. 제1항에 있어서, 상기 실리콘 전구체는 조성식 Si(ORI)4-xRII x(x=0 내지 3이고, RI는 1 내지 5개의 탄소를 갖는 알킬이고, RII는 탄소 및/또는 수소 및/또는 산소를 함유하는 임의의 리간드이고, 모든 RI와 RII기는 독립적으로 선택될 수 있음)를 갖는 방법.
  9. 제8항에 있어서, RII는 알케닐, 알키닐, 페닐, 카르보닐, 알데히드, 에스테르, 에테르, 카르복실, 페록시, 또는 히드로페록시 작용기를 갖고, 모든 RI와 RII기는 서로 독립적으로 선택될 수 있는 방법.
  10. 제8항에 있어서, 상기 제1 전구체는 MPTMS인 방법.
  11. 제1항에 있어서, 상기 제1 전구체는 MPTMS이고 상기 제2 반응물은 Ar/H2 플라즈마를 포함하는 방법.
  12. 제1항에 있어서, 상기 증착 사이클을 시작하기 전에 상기 기판을 플라즈마 반응물과 접촉시키는 단계를 더 포함하는 방법.
  13. 제1항에 있어서, 상기 SiOC막이 상기 제1 표면과 상기 제2 표면 상에 균일하게 증착되는 방법.
  14. 제1항에 있어서, 상기 증착 사이클은 500회를 초과하여 반복되는 방법.
  15. 제1항에 있어서, 상기 SiOC막은 4 미만의 k 값을 갖는 방법.
  16. 기판의 제1 유전체 표면 및 동일한 기판의 제2 금속 표면 상에 SiOC 박막을 증착하는 방법으로서, 실리콘을 포함하는 제1 반응물과 산소 종을 포함하지 않는 제2 플라즈마 반응물을 상기 기판과 교대 순차적으로 접촉시키는 단계를 포함하는 2개 이상의 증착 사이클을 포함하는 방법.
  17. 제16항에 있어서, 상기 제1 반응물은 MPTMS이고 상기 제2 반응물은 Ar/H2 가스에서 생성된 플라즈마인 방법.
  18. 제16항에 있어서, 상기 유전체 표면은 SiO2를 포함하고 상기 금속 표면은 텅스텐(W)을 포함하는 방법.
  19. SiO2를 포함하는 기판의 제1 표면과 텅스텐(W)을 포함하는 상기 기판의 제2 표면 상에 SiOC를 증착하기 위한 플라즈마 강화 원자층 증착(PEALD) 방법으로서,
    상기 기판을 MPTMS를 포함하는 제1 반응물과 접촉시키는 단계;
    상기 기판을 퍼지 가스와 접촉시키는 단계;
    상기 기판을 Ar/H2 플라즈마와 접촉시키는 단계; 및
    상기 기판을 퍼지 가스와 접촉시키는 단계를 순차적으로 포함하는 2개 이상의 증착 사이클을 포함하는 방법.
  20. 제19항에 있어서, 제1 증착 사이클을 시작하기 전에 상기 기판을 플라즈마 반응물과 접촉시키는 단계를 더 포함하는 방법.
  21. 제19항에 있어서, 상기 SiOC가 상기 제1 표면과 상기 제2 표면 상에 균일하게 증착되는 방법.
KR1020180153601A 2017-12-04 2018-12-03 유전체와 금속 표면 상에 SiOC의 균일한 증착 KR20190065962A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762594474P 2017-12-04 2017-12-04
US62/594,474 2017-12-04

Publications (1)

Publication Number Publication Date
KR20190065962A true KR20190065962A (ko) 2019-06-12

Family

ID=66659457

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180153601A KR20190065962A (ko) 2017-12-04 2018-12-03 유전체와 금속 표면 상에 SiOC의 균일한 증착

Country Status (3)

Country Link
US (1) US10991573B2 (ko)
KR (1) KR20190065962A (ko)
TW (1) TWI761636B (ko)

Families Citing this family (179)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
CN111344522B (zh) 2017-11-27 2022-04-12 阿斯莫Ip控股公司 包括洁净迷你环境的装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
TWI815915B (zh) 2018-06-27 2023-09-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TW202405220A (zh) 2019-01-17 2024-02-01 荷蘭商Asm Ip 私人控股有限公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
CN111593319B (zh) 2019-02-20 2023-05-30 Asm Ip私人控股有限公司 用于填充在衬底表面内形成的凹部的循环沉积方法和设备
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
TW202129068A (zh) 2020-01-20 2021-08-01 荷蘭商Asm Ip控股公司 形成薄膜之方法及修飾薄膜表面之方法
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
KR20210145080A (ko) 2020-05-22 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 과산화수소를 사용하여 박막을 증착하기 위한 장치
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (265)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
EP0149044B1 (en) 1983-11-11 1987-05-13 Research Development Corporation of Japan Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
DE69517158T2 (de) 1994-11-30 2001-01-25 Micron Technology Inc Verfahren zum auftragen von wolframnitrid unter verwendung eines silicium enthaltenden gases
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
SI1158070T1 (sl) 1999-02-11 2009-02-28 Hardide Ltd Prevleke volframovega karbida in postopek za njihovo pripravo
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
US6902763B1 (en) 1999-10-15 2005-06-07 Asm International N.V. Method for depositing nanolaminate thin films on sensitive surfaces
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
WO2002090614A1 (en) 2001-03-20 2002-11-14 Mattson Technology, Inc. Method for depositing a coating having a relatively high dielectric constant onto a substrate
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
WO2003023835A1 (en) 2001-08-06 2003-03-20 Genitech Co., Ltd. Plasma enhanced atomic layer deposition (peald) equipment and method of forming a conducting thin film using the same thereof
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
US7211508B2 (en) 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
JP4864402B2 (ja) * 2005-09-29 2012-02-01 株式会社東芝 半導体装置の製造方法
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
EP2137338A2 (en) 2007-03-28 2009-12-30 Dow Corning Corporation Roll-to-roll plasma enhanced chemical vapor deposition method of barrier layers comprising silicon and carbon
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US20100148903A1 (en) 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
US10513772B2 (en) 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
WO2012018598A1 (en) 2010-07-26 2012-02-09 Waters Technologies Corporation Superficially porous materials comprising a substantially nonporous core having narrow particle size distribution; process for the preparation thereof; and use thereof for chromatographic separations
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
KR101644680B1 (ko) 2011-04-28 2016-08-01 후지필름 가부시키가이샤 도전성 부재, 그 제조 방법, 터치 패널 및 태양 전지
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US10119035B2 (en) 2011-07-26 2018-11-06 Virginia Commonwealth University Abhesive coatings
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
US8569184B2 (en) * 2011-09-30 2013-10-29 Asm Japan K.K. Method for forming single-phase multi-element film by PEALD
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9425097B1 (en) * 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
US10475642B2 (en) 2016-04-21 2019-11-12 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3266790B1 (en) 2016-07-05 2019-11-06 Samsung Electronics Co., Ltd Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
US9997462B2 (en) 2016-10-28 2018-06-12 Samsung Electronics Co., Ltd. Semiconductor memory devices
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
WO2018204709A1 (en) 2017-05-05 2018-11-08 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of oxygen containing thin films
CN110651064B (zh) 2017-05-16 2022-08-16 Asm Ip 控股有限公司 电介质上氧化物的选择性peald

Also Published As

Publication number Publication date
US10991573B2 (en) 2021-04-27
TW201925526A (zh) 2019-07-01
TWI761636B (zh) 2022-04-21
US20190172701A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
KR20190065962A (ko) 유전체와 금속 표면 상에 SiOC의 균일한 증착
US11996284B2 (en) Formation of SiOCN thin films
JP6950012B2 (ja) SiOCN薄膜の形成
JP7470173B2 (ja) 誘電体上の酸化物の選択的peald
US10847361B2 (en) Selective deposition of aluminum and nitrogen containing material
US20210351031A1 (en) Selective deposition using hydrophobic precursors
US10186420B2 (en) Formation of silicon-containing thin films
JP6202798B2 (ja) 酸化アンチモン膜の原子層堆積
KR20160063271A (ko) 주기적인 알루미늄 산질화물 퇴적
KR20170104936A (ko) 금속 규화물들의 선택적 형성
US20230139917A1 (en) Selective deposition using thermal and plasma-enhanced process
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
KR102597990B1 (ko) 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법
US20230140367A1 (en) Selective deposition of material comprising silicon and oxygen using plasma
CN118176564A (zh) 等离子体增强的成膜方法
CN116848288A (zh) 高通量沉积方法

Legal Events

Date Code Title Description
AMND Amendment
A201 Request for examination
A302 Request for accelerated examination
AMND Amendment
E902 Notification of reason for refusal
AMND Amendment
E601 Decision to refuse application
AMND Amendment
X601 Decision of rejection after re-examination