JP2006261434A - シリコン酸化膜の形成方法 - Google Patents

シリコン酸化膜の形成方法 Download PDF

Info

Publication number
JP2006261434A
JP2006261434A JP2005077608A JP2005077608A JP2006261434A JP 2006261434 A JP2006261434 A JP 2006261434A JP 2005077608 A JP2005077608 A JP 2005077608A JP 2005077608 A JP2005077608 A JP 2005077608A JP 2006261434 A JP2006261434 A JP 2006261434A
Authority
JP
Japan
Prior art keywords
reaction chamber
silicon
gas
silicon oxide
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
JP2005077608A
Other languages
English (en)
Inventor
Christian Dussarat
クリスチャン・デュサラ
Julien Gatineau
ジュリエン・ガティノウ
Kazutaka Yanagida
和孝 柳田
Eri Tsukada
恵理 塚田
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Original Assignee
Air Liquide SA
LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Liquide SA, LAir Liquide SA pour lEtude et lExploitation des Procedes Georges Claude filed Critical Air Liquide SA
Priority to JP2005077608A priority Critical patent/JP2006261434A/ja
Priority to TW095108926A priority patent/TWI435387B/zh
Priority to TW102133860A priority patent/TWI515794B/zh
Priority to KR1020147010408A priority patent/KR20140069192A/ko
Priority to AT06725128T priority patent/ATE482301T1/de
Priority to JP2008501327A priority patent/JP5329218B2/ja
Priority to DE602006017042T priority patent/DE602006017042D1/de
Priority to KR1020137004043A priority patent/KR20130027573A/ko
Priority to KR1020077023846A priority patent/KR100961805B1/ko
Priority to KR1020157009322A priority patent/KR101547093B1/ko
Priority to KR1020127002046A priority patent/KR101248358B1/ko
Priority to PCT/EP2006/060829 priority patent/WO2006097525A2/en
Priority to US11/908,707 priority patent/US8227032B2/en
Priority to KR1020097019562A priority patent/KR20090107090A/ko
Priority to EP06725128A priority patent/EP1861519B1/en
Priority to CNA2006800149039A priority patent/CN101171366A/zh
Publication of JP2006261434A publication Critical patent/JP2006261434A/ja
Priority to US13/547,876 priority patent/US8613976B2/en
Priority to JP2012254063A priority patent/JP5631958B2/ja
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • C23C16/402Silicon dioxide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body

Abstract

【課題】400℃以下の低温での成膜において、OH結合の導入を抑制または防止したシリコン酸化膜の形成方法を提供する。
【解決手段】a)反応チャンバ内に被処理基板を収納する工程;(b)前記反応チャンバ内で次の工程を行う工程;1)減圧下、50〜400℃の基板温度にて反応チャンバに不活性ガスを供給してチャンバ内のガスをパージする、2)減圧および同温度の下で反応チャンバ内に気相状態のシリコン系化合物をパルスし、前記被処理基板上にシリコン系化合物を吸着させる、3)減圧および同温度の下で反応チャンバ内の未吸着のシリコン系化合物を不活性ガスによりパージする、4)減圧および同温度の下で反応チャンバ内にオゾンを含む混合ガスをパルスし、前記被処理基板上に吸着されたシリコン系化合物と酸化反応させてシリコン酸化物を生成する、(c)前記1)〜4)の工程を繰り返して前記被処理基板上に所望厚さのシリコン酸化膜を成膜する工程;を含むことを特徴とする。
【選択図】 図1

Description

本発明は、シリコン酸化膜の形成方法に関する。
薄膜トランジスタのようなMOS半導体デバイスにおいて、ゲート電極側面には耐圧向上を目的としてSiNのようなパッシベーション膜を形成することが行われている。このSiN膜は、半導体デバイスの製造工程での低温化に伴って、400℃以下での成膜が望まれている。
しかしながら、400℃以下でのSiNの堆積膜は膜質が劣る。このため、ゲート電極側面とSiN膜の間にシリコン酸化膜(SiOx膜)を介在させることが検討されている。このシリコン酸化膜も同様に成膜温度の低温化が要求されている。
従来、低温でのシリコン酸化膜はPECVD法により形成されている。すなわち、被処理基板が接地されたチャンバ内にシランガス(SiH4)および酸素を原料ガスとして導入し、プラズマ中で前記SiH4とO2を反応させて基板表面にシリコン酸化膜を形成する。
しかしながら、従来のPECVD法によるシリコン酸化膜の形成は原料ガスであるシランガスの水素に起因してSiOx膜にSi−H結合が導入される。このSi−H結合は、環境中の水分と容易に反応し、Si−OH結合が作られる。同時に、Si−H結合がなくとも反応で生成した水分が膜中に取り込まれると、Si−OH結合が導入される原因になる。このため、前述したゲート電極の耐圧向上を目的としてそのゲート電極側面にOH結合が導入されたSiOx膜を形成した場合、リーク電流が発生する虞がある。
本発明は、400℃以下の低温での成膜において、OH結合の導入を抑制または防止したシリコン酸化膜の形成方法を提供することを目的とする。
本発明によると、
(a)反応チャンバ内に被処理基板を収納する工程;
(b)前記反応チャンバ内で次の工程を行う工程;
1)減圧下、50〜400℃の基板温度にて反応チャンバ内に不活性ガスを供給してチャンバ内のガスをパージする、
2)減圧および同温度の下で反応チャンバ内に気相状態のシリコン系化合物をパルスし、前記被処理基板上にシリコン系化合物を吸着させる、
3)減圧および同温度の下で反応チャンバ内の未吸着のシリコン系化合物を不活性ガスによりパージする、
4)減圧および同温度の下で反応チャンバ内にオゾンを含む混合ガスをパルスし、前記被処理基板上に吸着されたシリコン系化合物と酸化反応させてシリコン酸化物を生成する、
(c)前記1)〜4)の工程を繰り返して前記被処理基板上に所望厚さのシリコン酸化膜を成膜する工程;
を含むことを特徴とするシリコン酸化膜の形成方法が提供される。
本発明によれば、400℃以下の低温成膜においてOH結合の導入を抑制または防止し、例えばゲート電極側面に適用した場合、リーク電流の上昇を防止した高信頼性で、厚さ制御が容易なシリコン酸化膜の形成方法を提供できる。
以下、本発明に係るシリコン酸化膜の形成方法を詳細に説明する。
まず、反応チャンバ内に被処理基板を収納した後、減圧下、50〜400℃の基板温度にて反応チャンバ内に不活性ガスを供給してチャンバ内のガスをパージする。つづいて、減圧および同温度の下で反応チャンバ内に気相状態のシリコン系化合物をパルスし、吸着により前記被処理基板上にシリコン系化合物の極薄い層を形成する。次いで、反応チャンバ内を不活性ガスを供給して未反応(未吸着)のシリコン系化合物をパージする。この後、反応チャンバ内にオゾンを含む混合ガスをパルスする。パルスされたオゾンを含む混合ガスは、基板上に形成されたシリコン系化合物の極薄い層を酸化反応し、シリコン酸化物の極薄い層が成膜される。このような不活性ガスのパージ、気相状態のシリコン系化合物のパルス、不活性ガスのパージおよびオゾンを含む混合ガスのパルスを繰り返して、前記被処理基板上に所望厚さのシリコン酸化膜を形成する。
前記被処理基板としては、例えば半導体装置の製造に用いられる半導体基板、液晶表示装置の製造などに用いられるガラス基板等を挙げることができる。特に、シリコン酸化膜をゲート耐圧の向上を目的として適用する場合、被処理基板としてはゲート電極が形成された半導体基板を用いる。
前記チャンバ内の減圧条件は、0.1〜1000Torr、より好ましくは1〜10Torrにすることが望ましい。
前記基板温度を50℃未満にすると、オゾンを含む混合ガスの導入においてシリコン系化合物の単原子層の十分な酸化反応がなされなくなる虞がある。一方、前記基板温度が400℃を超えると被処理基板への熱影響が大きくなる。より好ましい基板温度は、200〜400℃、さらに好ましくは250〜400℃である。
前記パージに用いられる不活性ガスとしては、例えば窒素ガス、アルゴンガス、ヘリウムガス等を挙げることができる。
前記シリコン系化合物としては、例えばシラン[SiH4]、ジシラン[(SiH32]、トリシラン[(SiH32SiH2]、アルキルシラン[(SiH3nR、ただしRは炭素数1から6の直鎖、分岐または環状のアルカンを示す]、トリシリルアミン[(SiH33N]、ジシロキサン[(SiH32O]のようなシリコン水素化物;TEOS[Si(OC254]、TMOS[Si(OCH34]、ビストリエトキシシリルエタン、トリアルキルシリルアルカン[(RO)3Si−Alk−Si(OR)3、ただしRは炭素数1〜6のアルカン]のようなシリコンアルコキシド;アセトキシシラン等を用いることができる。
前記シリコン系化合物は、常温で気相状態である場合、例えばボンベから反応チャンバにパルスされる。また、前記シリコン系化合物はTEOSのように常温で液体状態である場合、これをバブラー方式によりチャンバ内にパルスすることができる。具体的には、前記シリコン系化合物溶液を容器内に収容し、必要に応じて加温し、その容器内に不活性ガス吹き込み管を用いて不活性ガス(例えば窒素、アルゴン、ヘリウム等)を吹き込んで不活性ガスに同伴させてチャンバ内に導入することができる。もちろん、バブラー方式に限定されず、液体マスフローコントローラと蒸発器の組み合わせも使用できる。
前記オゾンを含む混合ガスは、前記シリコン系化合物を酸化してシリコン酸化物に変換させるものである。この混合ガスとしては、例えばオゾン+酸素の混合ガス、オゾン+窒素、アルゴン、ヘリウムのような不活性ガスの混合ガスを挙げることができる。前記混合ガスのオゾン濃度は、0.1〜5%であることが好ましい。オゾン濃度を0.1%未満にすると、低温でのシリコン系化合物の単原子層の十分な酸化を遂行することが困難になる虞がある。一方、オゾン濃度が5%を超えると、危険性、毒性が高くなって取扱いが困難になる虞がある。
前記気相状態のシリコン系化合物のパルスは、前記反応チャンバに例えば1.0〜100sccmの流量で0.1〜10秒間導入することができる。前記オゾンを含む混合ガスのパルスは、前記反応チャンバに例えば10〜1000sccmの流量で0.1〜10秒間導入することができる。
次に、図1に示す成膜装置を参照して本成膜法によるシリコン酸化膜の形成方法を具体的に説明する。
図1は、酸素ガスをオゾン発生器に直接導入して生成したオゾンと酸素の混合ガスを用いるALD法による成膜装置(不活性ガスのパージ時における各制御弁の開閉状態を併記)を示す概略図、図2および図3は図1と同じ成膜装置でそれぞれ気相状態のSi系化合物のパルス時における各制御弁の開閉状態、O3/O2混合ガスのパルス時における各制御弁の開閉状態を示す概略図である。
この成膜装置は、反応チャンバ11、不活性ガス(例えば窒素ガス)の供給源である窒素ガスボンベ12、気相状態のSi系化合物の供給源であるSi系化合物ガスボンベ13および酸素ガスの供給源である酸素ボンベ14を備える。枚葉式装置の場合、反応チャンバ11内には、図示しないサセプタが設けられ、その上にシリコン基板等の半導体基板(図示せず)が1つ載置される。サセプタ内には半導体基板を所定の反応温度に加熱するための加熱器が設けられている。バッチ式装置の場合、反応チャンバ11内に収容される半導体基板の数は、5〜200である。バッチ式装置においては、加熱器は、枚葉式装置の加熱器と異なる構成のものであってもよい。
前記窒素ガスボンベ12は、ラインL1を通して前記反応チャンバ11に接続されている。ラインL1には、上流側から開閉弁V1、流量調節器例えばマスフローコントローラMFC1が設けられ、さらに前記反応チャンバ11近傍のラインL1には開閉弁V2が設けられている。
前記反応チャンバ11の底部には、真空ポンプPMPに至る排気ラインL2が設けられ、このラインL2には上流側から圧力計PG1、背圧調整のためのバタフライ弁BVおよび開閉弁V3が設けられている。真空ポンプPMPは、ラインL3を通して除害装置15に接続されている。この除害装置15は、ガス種またはその規模に応じて例えば燃焼式除害装置、乾式除害装置を用いることができる。
前記Si系化合物ガスボンベ13は、ラインL4を通して開閉弁V2上流(開閉弁V2とマスフローコントローラMFC1の間)のラインL1と接続されている。ラインL4には、上流側から開閉弁V4、マスフローコントローラMFC2、圧力計PG2および開閉弁V5が設けられている。ラインL4は、圧力計PG2の上流で分岐され、この分岐ラインL4’は真空ポンプPMP上流(真空ポンプPMPと開閉弁V3の間)の排気ラインL2に接続されている。分岐ラインL4’には、開閉弁V5’が設けられている。開閉弁V5、V5’は、一方の開閉弁が開のときに他方の開閉弁が閉になるように同期して開閉される。
前記酸素ボンベ14は、オゾン発生器16に至るラインL5が設けられ、このラインL5には上流側から開閉弁V6およびマスフローコントローラMFC3が設けられている。オゾン発生器16は、ラインL6を通して開閉弁V2上流(開閉弁V2とマスフローコントローラMFC1の間)のラインL1と接続されている。ラインL6には、上流側からオゾン濃度計OCS、圧力計PG3および開閉弁V7が設けられている。また、ラインL6は圧力計PG3の上流で分岐され、この分岐ラインL6’は真空ポンプPMP上流(真空ポンプPMPと開閉弁V3の間)の排気ラインL2に接続されている。分岐ラインL6’には、開閉弁V7’が設けられている。開閉弁V7、V7’は、一方の開閉弁が開のときに他方の開閉弁が閉になるように同期して開閉される。
なお、ラインL6にはオゾンと酸素発生器16で発生されたオゾンの混合ガスが流れる。混合ガス中のオゾン濃度の制御は、酸素ガスの供給流量を一定にした場合、主にオゾン発生器16への投入電力と圧力により依存するため、ラインL6に設けられたオゾン濃度計OCSでオゾン量を測定し、その測定値に基づいて前記投入電力およびオゾン発生器16の容器圧力をフィードバック制御することによりなされる。
次に、前述した図1〜図3に示す成膜装置を用いて実施形態に係る成膜法によるシリコン酸化膜の形成方法を説明する。
1)窒素ガスパージ
反応チャンバ11内のサセプタ上に被処理基板、例えば半導体ウェハ(図示せず)を載置し、そのサセプタに内蔵された温度調節器によりウェハを50〜400℃の温度に加熱する。図1に示すように開閉弁V5、V7を閉じ、これ以外の開閉弁V1〜V4、V6、V5’、V7’を全て開く。なお、図1において閉鎖した制御弁を黒で塗りつぶし、開放された制御弁を白抜きとして表示する。また、以下の説明における開閉弁の開閉状態も同様に表示する。
次いで、真空ポンプPMPを駆動して反応チャンバ11内のガスを排気ラインL2を通して排気しながら、窒素ボンベ12から窒素ガスをラインL1を通して反応チャンバ11内に導入するとともに、マスフローコントローラMFC1でその供給流量を調整する。このような反応チャンバ11内のガスの排気、窒素ガスの反応チャンバ11内への供給により所望の真空度(例えば0.1〜1000Torr)で窒素ガスパージがなされ、反応チャンバ11内が窒素ガスで置換される。
なお、前記窒素ガスパージの工程以降において、Si系化合物ガスボンベ13からSi系化合物ガスをラインL4に常時、供給するとともに、マスフローコントローラMFC2でその供給流量を調整する。ただし、前記窒素ガスパージ工程では反応チャンバ11に繋がるラインL1と接続されるラインL4の開閉弁V5が閉じられ、排気ラインL2と接続される分岐ラインL4’の開閉弁V5’が開かれているため、同Si系化合物ガスは反応チャンバ11に供給されることなく、ラインL4、L4’を通して排気ラインL2に供給されて排気される。
また、同窒素ガスパージの工程以降において、酸素ガスボンベ14から酸素ガスをラインL5を通してオゾン発生器16に常時、供給するとともに、マスフローコントローラMFC3でその供給流量を調整する。オゾン発生器16に所望の電力を投入し、オゾンと酸素の混合ガスが流通するラインL6に設けられたオゾン濃度計OCSでオゾン量を測定し、その測定値に基づいて前記投入電力およびオゾン発生器16の容器圧力をフィードバック制御することにより所望濃度のオゾンを含む酸素(混合ガス)を酸素発生器16からラインL6に供給する。ただし、前記窒素ガスパージ工程では反応チャンバ11に繋がるラインL1と接続されるライン6の開閉弁V7が閉じられ、排気ラインL2と接続される分岐ラインL6’の開閉弁V7’が開かれているため、オゾンと酸素の混合ガスは反応チャンバ11に供給されることなく、ラインL6、L6’を通して排気ラインL2に供給されて排気される。
2)Si系化合物ガスのパルス
図1に示す状態から図2に示すように分岐ラインL4’の開閉弁V5’を閉じ、この動作に同期してラインL4の開閉弁V5を開き、所望時間後に開閉弁V5、V5’の開閉状態を反転させる。開閉弁V5の開の間に、Si系化合物ガスボンベ13から流量調整されたSi系化合物ガスはラインL4からラインL1に供給され、窒素ガスと一緒に反応チャンバ11内にパルスされる。このパルスにより、反応チャンバ11内のサセプタに載置され、加熱された半導体ウェハ表面にSi系化合物が単分子層に近い状態で吸着される。
3)窒素ガスパージ
前記Si系化合物ガスのパルス後にラインL4,分岐ラインL4’の開閉弁V5、V5’の開閉状態を反転させることにより、図2に示す状態から前述した図1に示す窒素ガスパージを行う。このとき、反応チャンバ11内に残留した未反応のSi系化合物が窒素ガスにより排出され、反応チャンバ11内が再び窒素ガスで置換される。
4)オゾンと酸素の混合ガスのパルス
図1に示す状態から図3に示すように分岐ラインL6’の開閉弁V7’を閉じ、この動作に同期してラインL6の開閉弁V7を開き、所望時間後に開閉弁V7、V7’の開閉状態を反転させる。開閉弁V7の開の間に、前述したオゾンと酸素の混合ガスはラインL6からラインL1に供給され、窒素ガスと一緒に反応チャンバ11内にパルスされる。このパルスにより、反応チャンバ11内のサセプタに載置され、加熱された半導体ウェハ表面に吸着されたSi系化合物はオゾンと酸素の混合ガスで酸化されるため、半導体ウェハ表面にシリコン酸化膜が単分子層に近い状態で成膜される。
このような1)窒素ガスパージ、2)Si系化合物ガスのパルス、3)窒素ガスパージおよび4)オゾンと酸素の混合ガスのパルス、の工程を繰り返すことによって半導体ウェハ表面に所望厚さのシリコン酸化膜を形成する。なお、前記4)のオゾンと酸素の混合ガスのパルスの後にラインL6,分岐ラインL6’の開閉弁V7、V7’の開閉状態を反転させることにより、図3に示す状態から前述した図1に示す窒素ガスパージを行う。このとき、反応チャンバ11内に残留した未反応のオゾンと酸素の混合ガスおよび反応副生物が窒素ガスにより排出され、反応チャンバ11内が再び窒素ガスで置換される。
なお、前述した図1〜図3に示す成膜装置を用いたシリコン酸化膜の形成方法において、気相状態のSi系化合物として常温で気相状態のSi系化合物を例にしたが、TEOSのような常温で液体状態であるSi系化合物を用いる場合にはバブラー方式により気相状態のSi系化合物を反応チャンバ11内に導入することもできる。すなわち、図1〜図3のSi系化合物ガスボンベ13に代えてバブラーを設置し、このバブラーに窒素ガスが流通するラインL1のバルブV1上流で分岐した分岐ラインを連結することにより、前述した1)窒素ガスパージ、2)Si系化合物ガスのパルス、3)窒素ガスパージおよび4)オゾンと酸素の混合ガスのパルスの工程を繰り返すことが可能になる。
以上、実施形態によればSi系化合物ガスのパルスにより400℃以下の比較的低温に加熱された被処理基板表面にSi系化合物を単分子層に近い状態で吸着させ、不活性ガス(例えば窒素ガス)パージの後にオゾンを含む混合ガス(例えばオゾンと酸素の混合ガス)のパルスを行うことによって、被処理基板表面に吸着されたSi系化合物を混合ガス中のオゾンの強力な酸化作用で十分に酸化してシリコン酸化膜が単分子層に近い状態で成膜することが可能になる。また、酸化反応後に不活性ガス(例えば窒素ガス)パージを行うことによって、成膜されたシリコン酸化膜に反応チャンバ内の水分が吸着されるのを防ぐことが可能になる。その結果、OH結合の導入が抑制または防止された良好なシリコン酸化膜を形成することができる。このようなシリコン酸化膜は、例えば優れた低リーク電流特性を有する。
また、被処理基板表面に吸着されたSi系化合物を適量(例えば0.1〜5%濃度)のオゾンを含む混合ガスのパルスにより酸化反応させるため、CVD法でオゾンを含む混合ガスを用いた場合に確認されたる被処理基板表面への酸化を防止することができる。このようにオゾンを含む混合ガスの必要量を低温でパルスによって導入するため、被処理基板に対する影響を少なくすることができる。その結果、実施形態のシリコン酸化膜の形成において高温に弱い膜、または酸化し易い性質の金属膜、金属シリサイド膜を有する被処理基板を使用することが可能になる。
以下、本発明の実施例を前述した図1〜図3を参照して説明する。
(実施例1)
前述した図1〜図3に示す成膜装置を用い、反応チャンバ11内のサセプタにシリコンウェハを設置し、ウェハを100℃に加熱し、前述した実施形態に準じて下記条件の1)窒素ガスパージ、2)Si系化合物ガスのパルス、3)窒素ガスパージおよび4)オゾンと酸素の混合ガスのパルスの工程を繰り返し、シリコン酸化膜を形成した。
1)窒素ガスパージ
・反応チャンバ内の圧力:3Torr、
・窒素ガスの供給流量:130sccm、
・窒素ガスパージ時間:6秒間。
2)Si系化合物ガスのパルス
・反応チャンバ内の圧力:3Torr、
・Si系化合物ガス:トリシリルアミン(TSA)ガス、
・TSAガスの供給流量:2sccm、
・TSAパルス時間:1秒間。
3)窒素ガスパージ
・反応チャンバ内の圧力:3Torr、
・窒素ガスの供給流量:130sccm、
・窒素ガスパージ時間:6秒間。
4)オゾンと酸素の混合ガスのパルス
・反応チャンバ内の圧力:3Torr、
・オゾンと酸素の混合ガス(オゾン濃度5%)の供給流量:20sccm、
・混合ガスパルス時間:2秒間。
(実施例2)
反応チャンバ11内のサセプタにシリコンウェハを設置し、ウェハを200℃に加熱した以外、実施例1と同様な方法によりシリコン酸化膜を形成した。
(実施例3)
反応チャンバ11内のサセプタにシリコンウェハを設置し、ウェハを300℃に加熱した以外、実施例1と同様な方法によりシリコン酸化膜を形成した。
実施例1〜3での本成膜法において各サイクル(実施例1では12サイクルのみ)でのシリコン酸化膜の厚さを測定した。その結果を図4に示す。この図4から明らかなように実施例1〜3ではインキュベーション時間を持つことなく十分に厚さが調整(制御)されたシリコン酸化膜を形成できることがわかる。
また、実施例3(ウェハ加熱温度:300℃)において200サイクル後のシリコン酸化膜をFT−IRにより分析した。その結果を図5に示す。この図5から明らかなようにシリコン酸化物を同定するSi−O−Si結合のピークが見られたが、H−SiO3に起因するSi−Hのピークが見られないことがわかる。すなわち、300℃の低温での成膜において、OH結合の導入が防止された良好なシリコン酸化膜を形成できることを確認した。
さらに、表面にモリブデン薄膜を有するシリコンウェハをサンプルとして実施例1〜3と同様な方法(100サイクル)でシリコン酸化膜をモリブデン薄膜表面に形成した後、シリコン酸化膜の下地であるモリブデン薄膜の状態を調べた。その結果、酸化性ガスとしてオゾン濃度5%のオゾンと酸素の混合ガスを用いてもモリブデン薄膜の酸化が認められなかった。
本発明の実施形態に係る成膜法に適用される成膜装置(不活性ガスのパージ時における各制御弁の開閉状態を併記)を示す概略図。 図1と同じ成膜装置を示し、実施形態に係る成膜法の適用に際してのSi系化合物ガスのパルス時における各制御弁の開閉状態を示す概略図。 図1と同じ成膜装置を示し、実施形態に係る成膜法の適用に際してのオゾンと酸素の混合ガスのパルス時における各制御弁の開閉状態を示す概略図。 実施例1〜3における成膜法でのサイクル数とシリコン酸化膜の厚さの関係を示す図。 実施例3で形成されたシリコン酸化膜のFT−IR分析によるスペクトルを示す図。
符号の説明
11…反応チャンバ、12…不活性ガス(窒素ガス)ボンベ、13…Si系化合物ガスボンベ、14…酸素ガスボンベ、15…除害装置、16…オゾン発生器、L1〜L6、LV4’,V6’…ライン、V1〜V7、V5’,V7’…開閉弁、PMP…真空ポンプ、MFC1〜MFC3…マスフローコントローラ、OCS…オゾン濃度計。

Claims (3)

  1. (a)反応チャンバ内に被処理基板を収納する工程;
    (b)前記反応チャンバ内で次の工程を行う工程;
    1)減圧下、50〜400℃の基板温度にて反応チャンバ内に不活性ガスを供給してチャンバ内のガスをパージする、
    2)減圧および同温度の下で反応チャンバ内に気相状態のシリコン系化合物をパルスし、前記被処理基板上にシリコン系化合物を吸着させる、
    3)減圧および同温度の下で反応チャンバ内の未吸着のシリコン系化合物を不活性ガスによりパージする、
    4)減圧および同温度の下で反応チャンバ内にオゾンを含む混合ガスをパルスし、前記被処理基板上に吸着されたシリコン系化合物と酸化反応させてシリコン酸化物を生成する、
    (c)前記1)〜4)の工程を繰り返して前記被処理基板上に所望厚さのシリコン酸化膜を成膜する工程;
    を含むことを特徴とするシリコン酸化膜の形成方法。
  2. 前記シリコン系化合物は、シリコン水素化物またはシリコンアルコキシドであることを特徴とする請求項1記載のシリコン酸化膜の形成方法。
  3. オゾンを含む前記混合ガスは、オゾン濃度が0.1〜5%であることを特徴とする請求項1記載のシリコン酸化膜の形成方法。
JP2005077608A 2005-03-17 2005-03-17 シリコン酸化膜の形成方法 Pending JP2006261434A (ja)

Priority Applications (18)

Application Number Priority Date Filing Date Title
JP2005077608A JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法
TW095108926A TWI435387B (zh) 2005-03-17 2006-03-16 用於形成含氧化矽之薄膜的方法
TW102133860A TWI515794B (zh) 2005-03-17 2006-03-16 用於形成含氧化矽之薄膜的方法
KR1020157009322A KR101547093B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
US11/908,707 US8227032B2 (en) 2005-03-17 2006-03-17 Method of forming silicon oxide containing films
JP2008501327A JP5329218B2 (ja) 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法
DE602006017042T DE602006017042D1 (de) 2005-03-17 2006-03-17 Verfahren zur herstellung von siliziumoxidhaltigen schichten
KR1020137004043A KR20130027573A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020077023846A KR100961805B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020147010408A KR20140069192A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
KR1020127002046A KR101248358B1 (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
PCT/EP2006/060829 WO2006097525A2 (en) 2005-03-17 2006-03-17 Method of forming silicon oxide containing films
AT06725128T ATE482301T1 (de) 2005-03-17 2006-03-17 Verfahren zur herstellung von siliziumoxidhaltigen schichten
KR1020097019562A KR20090107090A (ko) 2005-03-17 2006-03-17 산화규소 함유 필름의 형성 방법
EP06725128A EP1861519B1 (en) 2005-03-17 2006-03-17 Method of forming silicon oxide containing films
CNA2006800149039A CN101171366A (zh) 2005-03-17 2006-03-17 形成含氧化硅的薄膜的方法
US13/547,876 US8613976B2 (en) 2005-03-17 2012-07-12 Method of forming silicon oxide containing films
JP2012254063A JP5631958B2 (ja) 2005-03-17 2012-11-20 シリコン酸化物含有膜の形成方法

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2005077608A JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法

Publications (1)

Publication Number Publication Date
JP2006261434A true JP2006261434A (ja) 2006-09-28

Family

ID=36764030

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2005077608A Pending JP2006261434A (ja) 2005-03-17 2005-03-17 シリコン酸化膜の形成方法
JP2008501327A Active JP5329218B2 (ja) 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法
JP2012254063A Active JP5631958B2 (ja) 2005-03-17 2012-11-20 シリコン酸化物含有膜の形成方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
JP2008501327A Active JP5329218B2 (ja) 2005-03-17 2006-03-17 シリコン酸化物含有膜の形成方法
JP2012254063A Active JP5631958B2 (ja) 2005-03-17 2012-11-20 シリコン酸化物含有膜の形成方法

Country Status (9)

Country Link
US (2) US8227032B2 (ja)
EP (1) EP1861519B1 (ja)
JP (3) JP2006261434A (ja)
KR (6) KR101547093B1 (ja)
CN (1) CN101171366A (ja)
AT (1) ATE482301T1 (ja)
DE (1) DE602006017042D1 (ja)
TW (2) TWI515794B (ja)
WO (1) WO2006097525A2 (ja)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008533731A (ja) * 2005-03-17 2008-08-21 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン酸化物含有膜の形成方法
JP2008266711A (ja) * 2007-04-19 2008-11-06 Fuji Electric Systems Co Ltd 真空成膜設備
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010219533A (ja) * 2009-03-13 2010-09-30 Air Products & Chemicals Inc 誘電体膜形成方法

Families Citing this family (378)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7875556B2 (en) 2005-05-16 2011-01-25 Air Products And Chemicals, Inc. Precursors for CVD silicon carbo-nitride and silicon nitride films
US7875312B2 (en) 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
JP5258229B2 (ja) * 2006-09-28 2013-08-07 東京エレクトロン株式会社 成膜方法および成膜装置
JP5193527B2 (ja) * 2006-09-28 2013-05-08 東京エレクトロン株式会社 シリコン酸化膜の形成方法、シリコン酸化膜の形成装置及びプログラム
US20090041952A1 (en) 2007-08-10 2009-02-12 Asm Genitech Korea Ltd. Method of depositing silicon oxide films
KR100888186B1 (ko) * 2007-08-31 2009-03-10 주식회사 테스 절연막 형성 방법
JP5015705B2 (ja) * 2007-09-18 2012-08-29 ルネサスエレクトロニクス株式会社 層間絶縁膜形成方法、層間絶縁膜、半導体デバイス、および半導体製造装置
CN101889331A (zh) * 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
WO2009102363A2 (en) * 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
US8501637B2 (en) 2007-12-21 2013-08-06 Asm International N.V. Silicon dioxide thin films by ALD
JP5306691B2 (ja) * 2008-04-01 2013-10-02 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
JP2009295621A (ja) * 2008-06-02 2009-12-17 Panasonic Corp 半導体装置及びその製造方法
JP5518499B2 (ja) * 2009-02-17 2014-06-11 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US8802201B2 (en) * 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP5616737B2 (ja) * 2009-11-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
KR20120111738A (ko) 2009-12-30 2012-10-10 어플라이드 머티어리얼스, 인코포레이티드 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US8728956B2 (en) 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
JP2011243620A (ja) * 2010-05-14 2011-12-01 Tokyo Electron Ltd 成膜方法および成膜装置
US8912353B2 (en) 2010-06-02 2014-12-16 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for depositing films comprising same
US8343881B2 (en) 2010-06-04 2013-01-01 Applied Materials, Inc. Silicon dioxide layer deposited with BDEAS
US8580699B2 (en) * 2010-09-10 2013-11-12 Applied Materials, Inc. Embedded catalyst for atomic layer deposition of silicon oxide
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
JP5544343B2 (ja) * 2010-10-29 2014-07-09 東京エレクトロン株式会社 成膜装置
US8460753B2 (en) * 2010-12-09 2013-06-11 Air Products And Chemicals, Inc. Methods for depositing silicon dioxide or silicon oxide films using aminovinylsilanes
JP2012138500A (ja) * 2010-12-27 2012-07-19 Tokyo Electron Ltd タングステン膜又は酸化タングステン膜上への酸化シリコン膜の成膜方法及び成膜装置
US9466476B2 (en) 2010-12-27 2016-10-11 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US9460913B2 (en) 2010-12-27 2016-10-04 Tokyo Electron Limited Film-forming method for forming silicon oxide film on tungsten film or tungsten oxide film
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US20130330936A1 (en) * 2011-02-07 2013-12-12 Technische Universiteit Eindhoven METHOD OF DEPOSITION OF Al2O3/SiO2 STACKS, FROM ALUMINIUM AND SILICON PRECURSORS
EP2484802B1 (en) * 2011-02-07 2017-03-29 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of deposition of Al2O3/SiO2 stacks from DMAI and silicon precursors
EP2484801B1 (en) * 2011-02-07 2017-08-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from TMA or TEA and silicon precursors
EP2484803B1 (en) * 2011-02-07 2017-03-29 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of deposition of Al2O3/SiO2 stacks, from aluminium and silicon precursors
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
DE102011016935A1 (de) * 2011-04-13 2012-10-18 Osram Opto Semiconductors Gmbh Verfahren zur Herstellung eines Licht emittierenden Halbleiterbauelements und Licht emittierendes Halbleiterbauelement
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
JP2013008828A (ja) * 2011-06-24 2013-01-10 Taiyo Nippon Sanso Corp シリコン絶縁膜の形成方法
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9128218B2 (en) 2011-12-29 2015-09-08 Visera Technologies Company Limited Microlens structure and fabrication method thereof
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
TWI496932B (zh) * 2012-03-09 2015-08-21 Air Prod & Chem 用於顯示裝置的阻絕物材料
WO2014015237A1 (en) 2012-07-20 2014-01-23 L'air Liquide, Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Organosilane precursors for ald/cvd silicon-containing film applications
KR20140026724A (ko) * 2012-08-23 2014-03-06 주식회사 원익아이피에스 박막 제조 방법 및 기판 처리 장치
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
EP2770526B1 (en) 2013-02-22 2018-10-03 IMEC vzw Oxygen monolayer on a semiconductor
JP2014209558A (ja) * 2013-03-27 2014-11-06 東京エレクトロン株式会社 シリコン酸化膜の形成方法、及び、シリコン酸化膜の形成装置
JP5998101B2 (ja) * 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9382268B1 (en) 2013-07-19 2016-07-05 American Air Liquide, Inc. Sulfur containing organosilane precursors for ALD/CVD silicon-containing film applications
TW201509799A (zh) 2013-07-19 2015-03-16 Air Liquide 用於ald/cvd含矽薄膜應用之六配位含矽前驅物
CN103450801A (zh) * 2013-09-09 2013-12-18 南京工业大学 一种制备微纳米硅基超疏水涂层的方法及其用途
JP2014064039A (ja) * 2013-12-25 2014-04-10 Tokyo Electron Ltd 成膜方法および成膜装置
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
EP3151286B1 (en) * 2014-05-29 2021-12-08 Kyocera Corporation Solar cell element, method for manufacturing same and solar cell module
RU2586265C2 (ru) * 2014-07-04 2016-06-10 Федеральное Государственное Бюджетное Образовательное Учреждение Высшего Профессионального Образования "Дагестанский Государственный Технический Университет" (Дгту) Способ осаждения тонких пленок на поверхности подложек для изготовления солнечных элементов
US9869017B2 (en) * 2014-07-10 2018-01-16 Applied Materials, Inc. H2/O2 side inject to improve process uniformity for low temperature oxidation process
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9214333B1 (en) 2014-09-24 2015-12-15 Lam Research Corporation Methods and apparatuses for uniform reduction of the in-feature wet etch rate of a silicon nitride film formed by ALD
US10006122B2 (en) 2014-10-02 2018-06-26 American Air Liquide, Inc. Organodisilane precursors for ALD/CVD silicon-containing film applications
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10316407B2 (en) 2014-10-24 2019-06-11 Versum Materials Us, Llc Compositions and methods using same for deposition of silicon-containing films
US9589790B2 (en) 2014-11-24 2017-03-07 Lam Research Corporation Method of depositing ammonia free and chlorine free conformal silicon nitride film
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
EP3029175A1 (en) * 2014-12-05 2016-06-08 Basf Se Process for the production of porous thin films
US10570513B2 (en) 2014-12-13 2020-02-25 American Air Liquide, Inc. Organosilane precursors for ALD/CVD silicon-containing film applications and methods of using the same
US9472392B2 (en) 2015-01-30 2016-10-18 Applied Materials, Inc. Step coverage dielectric
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US9601693B1 (en) 2015-09-24 2017-03-21 Lam Research Corporation Method for encapsulating a chalcogenide material
US11365481B2 (en) 2015-10-06 2022-06-21 City University Of Hong Kong Homogeneous and transparent protective coatings for precious metals and copper alloys
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
KR102527897B1 (ko) * 2015-10-23 2023-04-28 어플라이드 머티어리얼스, 인코포레이티드 표면 포이즈닝 처리에 의한 바텀 업 갭-충전
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
GB201523156D0 (en) * 2015-12-31 2016-02-17 Pilkington Group Ltd High strength glass containers
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN116978778A (zh) * 2016-06-28 2023-10-31 应用材料公司 用于3d nand存储器器件的基于cvd的氧化物-金属多结构
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10629435B2 (en) 2016-07-29 2020-04-21 Lam Research Corporation Doped ALD films for semiconductor patterning applications
US10074543B2 (en) 2016-08-31 2018-09-11 Lam Research Corporation High dry etch rate materials for semiconductor patterning applications
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US9865455B1 (en) 2016-09-07 2018-01-09 Lam Research Corporation Nitride film formed by plasma-enhanced and thermal atomic layer deposition process
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10832908B2 (en) 2016-11-11 2020-11-10 Lam Research Corporation Self-aligned multi-patterning process flow with ALD gapfill spacer mask
US10454029B2 (en) 2016-11-11 2019-10-22 Lam Research Corporation Method for reducing the wet etch rate of a sin film without damaging the underlying substrate
US10134579B2 (en) 2016-11-14 2018-11-20 Lam Research Corporation Method for high modulus ALD SiO2 spacer
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
FR3059339B1 (fr) * 2016-11-29 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de films de dioxyde de silicium
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10504901B2 (en) 2017-04-26 2019-12-10 Asm Ip Holding B.V. Substrate processing method and device manufactured using the same
KR20240010760A (ko) 2017-05-05 2024-01-24 에이에스엠 아이피 홀딩 비.브이. 산소 함유 박막의 형성을 제어하기 위한 플라즈마 강화 증착 공정
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
TWI782021B (zh) * 2017-05-28 2022-11-01 美商應用材料股份有限公司 有機及混合有機無機層的選擇性分子層沉積
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11411120B2 (en) * 2017-09-05 2022-08-09 Ulvac, Inc. Method for manufacturing semiconductor device using plasma CVD process
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
EP3476973A1 (en) * 2017-10-25 2019-05-01 L'Air Liquide Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Process chamber and method for purging the same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI761636B (zh) 2017-12-04 2022-04-21 荷蘭商Asm Ip控股公司 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20200118504A (ko) 2018-03-02 2020-10-15 램 리써치 코포레이션 가수분해를 사용한 선택적인 증착
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529880A (ja) 2018-06-27 2021-11-04 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2022525460A (ja) * 2019-03-20 2022-05-16 アプライド マテリアルズ インコーポレイテッド 熱酸化品質が高い厚い酸化膜を低温で成長させる方法
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
WO2020236994A1 (en) 2019-05-21 2020-11-26 Versum Materials Us, Llc Compositions and methods using same for thermal deposition silicon-containing films
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11482414B2 (en) 2019-12-18 2022-10-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Ultra-low temperature ALD to form high-quality Si-containing film
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
JP7386732B2 (ja) * 2020-03-06 2023-11-27 東京エレクトロン株式会社 成膜方法
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111755320A (zh) * 2020-05-20 2020-10-09 嘉兴市轩禾园艺技术有限公司 多晶硅功能膜、制备方法及其用途
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
CN111816556B (zh) * 2020-06-03 2024-01-23 中国科学院微电子研究所 晶体管及制备方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022168301A1 (ja) 2021-02-08 2022-08-11 株式会社日立ハイテク ガス供給装置、真空処理装置及びガス供給方法
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
CN114318292B (zh) * 2021-12-31 2024-03-29 中储粮成都储藏研究院有限公司 一种利用化学气相沉积法包覆阻燃性膜层的方法
TW202344707A (zh) * 2022-03-04 2023-11-16 美商恩特葛瑞斯股份有限公司 製備富矽氮化矽膜之方法

Family Cites Families (71)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3596370A (en) * 1969-12-11 1971-08-03 Gen Electric Thin film capacitor
US4181751A (en) 1978-05-24 1980-01-01 Hughes Aircraft Company Process for the preparation of low temperature silicon nitride films by photochemical vapor deposition
US4597003A (en) * 1983-12-01 1986-06-24 Harry E. Aine Chemical etching of a semiconductive wafer by undercutting an etch stopped layer
US4592132A (en) * 1984-12-07 1986-06-03 Hughes Aircraft Company Process for fabricating multi-level-metal integrated circuits at high yields
JPH01143221A (ja) 1987-11-27 1989-06-05 Nec Corp 絶縁薄膜の製造方法
JP2637265B2 (ja) * 1990-06-28 1997-08-06 株式会社東芝 窒化珪素膜の形成方法
US5204141A (en) * 1991-09-18 1993-04-20 Air Products And Chemicals, Inc. Deposition of silicon dioxide films at temperatures as low as 100 degree c. by lpcvd using organodisilane sources
JP3149223B2 (ja) * 1991-10-07 2001-03-26 東京エレクトロン株式会社 成膜方法
JPH05175132A (ja) * 1991-12-20 1993-07-13 Kojundo Chem Lab Co Ltd 半導体装置のケイ素酸化膜の製造法
JPH06132276A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体膜形成方法
JPH06132284A (ja) * 1992-10-22 1994-05-13 Kawasaki Steel Corp 半導体装置の保護膜形成方法
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5304398A (en) 1993-06-03 1994-04-19 Watkins Johnson Company Chemical vapor deposition of silicon dioxide using hexamethyldisilazane
US5413813A (en) 1993-11-23 1995-05-09 Enichem S.P.A. CVD of silicon-based ceramic materials on internal surface of a reactor
US5424095A (en) 1994-03-07 1995-06-13 Eniricerche S.P.A. Ceramic vapor deposited coating using a steam-containing carrier gas and non-alkoxy silane precursors
EP0703187B1 (en) * 1994-08-24 2006-06-14 Ebara Corporation Method for generating ozone and methods of its use
JP3432997B2 (ja) 1996-04-23 2003-08-04 株式会社東芝 半導体装置に使用する絶縁膜
US5728602A (en) 1996-06-03 1998-03-17 Vlsi Technology, Inc. Semiconductor wafer manufacturing process with high-flow-rate low-pressure purge cycles
US5874368A (en) * 1997-10-02 1999-02-23 Air Products And Chemicals, Inc. Silicon nitride from bis(tertiarybutylamino)silane
GB9723222D0 (en) * 1997-11-04 1998-01-07 Pilkington Plc Coating glass
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
AU3870899A (en) * 1998-05-01 1999-11-23 Seshu B. Desu Oxide/organic polymer multilayer thin films deposited by chemical vapor deposition
US6087262A (en) * 1998-11-09 2000-07-11 United Microelectronics Corp. Method for manufacturing shallow trench isolation structure
US6153261A (en) * 1999-05-28 2000-11-28 Applied Materials, Inc. Dielectric film deposition employing a bistertiarybutylaminesilane precursor
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
DE60125338T2 (de) * 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6818250B2 (en) * 2000-06-29 2004-11-16 The Regents Of The University Of Colorado Method for forming SIO2 by chemical vapor deposition at room temperature
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
JP4693970B2 (ja) 2000-09-14 2011-06-01 株式会社トリケミカル研究所 ゲート酸化膜形成方法
JP3409290B2 (ja) * 2000-09-18 2003-05-26 株式会社トリケミカル研究所 ゲート酸化膜形成材料
JP5290488B2 (ja) * 2000-09-28 2013-09-18 プレジデント アンド フェロウズ オブ ハーバード カレッジ 酸化物、ケイ酸塩及びリン酸塩の気相成長
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6531412B2 (en) * 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003166060A (ja) * 2001-11-30 2003-06-13 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude Cvd法によるシリコン窒化物膜、シリコンオキシ窒化物膜、またはシリコン酸化物膜の製造方法
JP3941099B2 (ja) 2001-12-19 2007-07-04 ソニー株式会社 薄膜形成方法
US6843858B2 (en) * 2002-04-02 2005-01-18 Applied Materials, Inc. Method of cleaning a semiconductor processing chamber
KR100425478B1 (ko) * 2002-04-04 2004-03-30 삼성전자주식회사 금속 도전층을 포함한 반도체소자의 제조방법
JP4007044B2 (ja) * 2002-04-19 2007-11-14 ソニー株式会社 原子層蒸着法を用いた薄膜形成方法
KR100505668B1 (ko) * 2002-07-08 2005-08-03 삼성전자주식회사 원자층 증착 방법에 의한 실리콘 산화막 형성 방법
JP2005536055A (ja) * 2002-08-18 2005-11-24 アヴィザ テクノロジー インコーポレイテッド 酸化シリコン及び酸窒化シリコンの低温堆積
TW200408015A (en) 2002-08-18 2004-05-16 Asml Us Inc Atomic layer deposition of high K metal silicates
US6797643B2 (en) 2002-10-23 2004-09-28 Applied Materials Inc. Plasma enhanced CVD low k carbon-doped silicon oxide film deposition using VHF-RF power
KR100463633B1 (ko) 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
JP4290421B2 (ja) 2002-12-27 2009-07-08 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP3909320B2 (ja) * 2003-01-27 2007-04-25 三菱マテリアル株式会社 有機金属化学気相成長法用原料の合成方法
US7229703B2 (en) * 2003-03-31 2007-06-12 Dai Nippon Printing Co. Ltd. Gas barrier substrate
US20040198069A1 (en) * 2003-04-04 2004-10-07 Applied Materials, Inc. Method for hafnium nitride deposition
JP4354732B2 (ja) 2003-04-17 2009-10-28 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 気相成長法によるシリコン窒化物膜の製造方法
JP4717335B2 (ja) 2003-06-30 2011-07-06 弘 中山 膜形成方法、膜、及び素子
JP4290508B2 (ja) 2003-08-08 2009-07-08 有限会社幸文堂 連結式印具及び印具
JP4059183B2 (ja) 2003-10-07 2008-03-12 ソニー株式会社 絶縁体薄膜の製造方法
JP2005159316A (ja) 2003-10-30 2005-06-16 Tokyo Electron Ltd 半導体装置の製造方法及び成膜装置並びに記憶媒体
TW200526804A (en) * 2003-10-30 2005-08-16 Tokyo Electron Ltd Method of manufacturing semiconductor device, film-forming apparatus, and storage medium
JP2005191482A (ja) 2003-12-26 2005-07-14 Semiconductor Leading Edge Technologies Inc 半導体装置及びその製造方法
JP2005197561A (ja) * 2004-01-09 2005-07-21 Hitachi Kokusai Electric Inc 基板処理装置
US7098150B2 (en) * 2004-03-05 2006-08-29 Air Liquide America L.P. Method for novel deposition of high-k MSiON dielectric films
JP2006016641A (ja) 2004-06-30 2006-01-19 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 金属シリコンオキサイドの製造方法、金属シリコンオキシナイトライドの製造方法、およびシリコンドープされた金属ナイトライドの製造方法
JP4595702B2 (ja) * 2004-07-15 2010-12-08 東京エレクトロン株式会社 成膜方法、成膜装置及び記憶媒体
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US7473655B2 (en) * 2005-06-17 2009-01-06 Applied Materials, Inc. Method for silicon based dielectric chemical vapor deposition
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
JP4554446B2 (ja) 2005-06-21 2010-09-29 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070010072A1 (en) * 2005-07-09 2007-01-11 Aviza Technology, Inc. Uniform batch film deposition process and films so produced
US7875312B2 (en) * 2006-05-23 2011-01-25 Air Products And Chemicals, Inc. Process for producing silicon oxide films for organoaminosilane precursors
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US20080207007A1 (en) 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
CN101889331A (zh) 2007-09-18 2010-11-17 乔治洛德方法研究和开发液化空气有限公司 形成含硅膜的方法
US8197915B2 (en) * 2009-04-01 2012-06-12 Asm Japan K.K. Method of depositing silicon oxide film by plasma enhanced atomic layer deposition at low temperature
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2008533731A (ja) * 2005-03-17 2008-08-21 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン酸化物含有膜の形成方法
JP2013070077A (ja) * 2005-03-17 2013-04-18 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude シリコン酸化物含有膜の形成方法
JP2008266711A (ja) * 2007-04-19 2008-11-06 Fuji Electric Systems Co Ltd 真空成膜設備
JP2010103495A (ja) * 2008-09-29 2010-05-06 Adeka Corp 半導体デバイス、その製造装置及び製造方法
JP2010219533A (ja) * 2009-03-13 2010-09-30 Air Products & Chemicals Inc 誘電体膜形成方法
JP2013225695A (ja) * 2009-03-13 2013-10-31 Air Products & Chemicals Inc 誘電体膜形成方法
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same

Also Published As

Publication number Publication date
EP1861519A2 (en) 2007-12-05
KR20140069192A (ko) 2014-06-09
KR100961805B1 (ko) 2010-06-08
KR20090107090A (ko) 2009-10-12
KR20130027573A (ko) 2013-03-15
KR101248358B1 (ko) 2013-04-03
JP2008533731A (ja) 2008-08-21
US20120276292A1 (en) 2012-11-01
KR101547093B1 (ko) 2015-08-24
WO2006097525A2 (en) 2006-09-21
TWI515794B (zh) 2016-01-01
TW201403715A (zh) 2014-01-16
WO2006097525A3 (en) 2006-11-23
JP5329218B2 (ja) 2013-10-30
TWI435387B (zh) 2014-04-21
US20090232985A1 (en) 2009-09-17
TW200707582A (en) 2007-02-16
KR20150047631A (ko) 2015-05-04
US8613976B2 (en) 2013-12-24
DE602006017042D1 (de) 2010-11-04
KR20070114393A (ko) 2007-12-03
JP2013070077A (ja) 2013-04-18
US8227032B2 (en) 2012-07-24
ATE482301T1 (de) 2010-10-15
JP5631958B2 (ja) 2014-11-26
CN101171366A (zh) 2008-04-30
KR20120044992A (ko) 2012-05-08
EP1861519B1 (en) 2010-09-22

Similar Documents

Publication Publication Date Title
JP2006261434A (ja) シリコン酸化膜の形成方法
KR101542267B1 (ko) 규소 함유 막의 형성 방법
JP6176811B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
US7651730B2 (en) Method and apparatus for forming silicon oxide film
KR101749413B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
WO2016110956A1 (ja) 半導体装置の製造方法、基板処理装置および記録媒体
KR101858345B1 (ko) 반도체 장치의 제조 방법, 기판 처리 장치 및 프로그램
KR20140097034A (ko) 성막 방법 및 성막 장치
JP6224258B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6339236B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム
JP6654232B2 (ja) 半導体装置の製造方法、基板処理装置およびプログラム