TW202140135A - 氣體供應總成以及閥板總成 - Google Patents

氣體供應總成以及閥板總成 Download PDF

Info

Publication number
TW202140135A
TW202140135A TW109145684A TW109145684A TW202140135A TW 202140135 A TW202140135 A TW 202140135A TW 109145684 A TW109145684 A TW 109145684A TW 109145684 A TW109145684 A TW 109145684A TW 202140135 A TW202140135 A TW 202140135A
Authority
TW
Taiwan
Prior art keywords
gas supply
valve plate
gas
supply assembly
container
Prior art date
Application number
TW109145684A
Other languages
English (en)
Inventor
黃劍秋
趙格劍
凱爾 方杜拉利亞
Original Assignee
荷蘭商Asm Ip私人控股有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip私人控股有限公司 filed Critical 荷蘭商Asm Ip私人控股有限公司
Publication of TW202140135A publication Critical patent/TW202140135A/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J12/00Chemical processes in general for reacting gaseous media with gaseous media; Apparatus specially adapted therefor
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/001Feed or outlet devices as such, e.g. feeding tubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J4/00Feed or outlet devices; Feed or outlet control devices
    • B01J4/02Feed or outlet devices; Feed or outlet control devices for feeding measured, i.e. prescribed quantities of reagents
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F15FLUID-PRESSURE ACTUATORS; HYDRAULICS OR PNEUMATICS IN GENERAL
    • F15BSYSTEMS ACTING BY MEANS OF FLUIDS IN GENERAL; FLUID-PRESSURE ACTUATORS, e.g. SERVOMOTORS; DETAILS OF FLUID-PRESSURE SYSTEMS, NOT OTHERWISE PROVIDED FOR
    • F15B13/00Details of servomotor systems ; Valves for servomotor systems
    • F15B13/02Fluid distribution or supply devices characterised by their adaptation to the control of servomotors
    • F15B13/06Fluid distribution or supply devices characterised by their adaptation to the control of servomotors for use with two or more servomotors
    • F15B13/08Assemblies of units, each for the control of a single servomotor only
    • F15B13/0803Modular units
    • F15B13/0807Manifolds
    • F15B13/0817Multiblock manifolds
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K27/00Construction of housing; Use of materials therefor
    • F16K27/003Housing formed from a plurality of the same valve elements
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K31/00Actuating devices; Operating means; Releasing devices
    • F16K31/02Actuating devices; Operating means; Releasing devices electric; magnetic
    • F16K31/06Actuating devices; Operating means; Releasing devices electric; magnetic using a magnet, e.g. diaphragm valves, cutting off by means of a liquid
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K49/00Means in or on valves for heating or cooling
    • F16K49/002Electric heating means
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F16ENGINEERING ELEMENTS AND UNITS; GENERAL MEASURES FOR PRODUCING AND MAINTAINING EFFECTIVE FUNCTIONING OF MACHINES OR INSTALLATIONS; THERMAL INSULATION IN GENERAL
    • F16KVALVES; TAPS; COCKS; ACTUATING-FLOATS; DEVICES FOR VENTING OR AERATING
    • F16K7/00Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves
    • F16K7/12Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm
    • F16K7/14Diaphragm valves or cut-off apparatus, e.g. with a member deformed, but not moved bodily, to close the passage ; Pinch valves with flat, dished, or bowl-shaped diaphragm arranged to be deformed against a flat seat
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F17STORING OR DISTRIBUTING GASES OR LIQUIDS
    • F17CVESSELS FOR CONTAINING OR STORING COMPRESSED, LIQUEFIED OR SOLIDIFIED GASES; FIXED-CAPACITY GAS-HOLDERS; FILLING VESSELS WITH, OR DISCHARGING FROM VESSELS, COMPRESSED, LIQUEFIED, OR SOLIDIFIED GASES
    • F17C13/00Details of vessels or of the filling or discharging of vessels
    • F17C13/04Arrangement or mounting of valves
    • GPHYSICS
    • G05CONTROLLING; REGULATING
    • G05DSYSTEMS FOR CONTROLLING OR REGULATING NON-ELECTRIC VARIABLES
    • G05D7/00Control of flow
    • G05D7/06Control of flow characterised by the use of electric means
    • G05D7/0617Control of flow characterised by the use of electric means specially adapted for fluid materials
    • G05D7/0629Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means
    • G05D7/0635Control of flow characterised by the use of electric means specially adapted for fluid materials characterised by the type of regulator means by action on throttling means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B01PHYSICAL OR CHEMICAL PROCESSES OR APPARATUS IN GENERAL
    • B01JCHEMICAL OR PHYSICAL PROCESSES, e.g. CATALYSIS OR COLLOID CHEMISTRY; THEIR RELEVANT APPARATUS
    • B01J2204/00Aspects relating to feed or outlet devices; Regulating devices for feed or outlet devices
    • B01J2204/002Aspects relating to feed or outlet devices; Regulating devices for feed or outlet devices the feeding side being of particular interest

Abstract

所揭露者係氣體供應總成和包括前述氣體供應總成的反應器系統。一例示性氣體供應總成包括容器、閥板、包套前述容器和前述閥板的外殼、具有在前述外殼之內部的第一端和在前述外殼之外部的第二端的氣體饋通件、以及附接至前述閥板的一或多個閥,其中至少一閥係流體耦接至前述容器之內部。前述總成可進一步包括可移除的氣體管線,前述可移除的氣體管線具有耦接至前述至少一閥的第一端和耦接至前述氣體饋通件的第二端。額外地或替代地,氣體供應總成可包括耦接至前述閥板的一或多個閥板整平裝置。

Description

氣體供應總成以及閥板總成
本揭露大體上關於氣相反應器系統和其組件。更具體而言,本揭露之實例關於用於氣相反應器系統的氣體供應總成、前述氣體供應總成的組件以及包括前述氣體供應總成的反應器系統。
諸如包括化學氣相沉積(Chemical Vapor Deposition,CVD)、電漿增強化學氣相沉積(Plasma-enhanced CVD,PECVD)、原子層沉積(Atomic Layer Deposition,ALD)、其他循環沉積及/或類似反應器的反應器系統的氣相反應器系統可用於多種應用,包括在基材表面上沉積和蝕刻材料。例如,氣相反應器系統可用以在基材上沉積及/或蝕刻層,以形成半導體裝置、平板顯示裝置、光伏打裝置、微機電系統(MEMS)及類似者。
一典型氣相反應器系統包括一或多個反應器,每個反應器包括一或多個反應室;一或多個前驅物及/或反應物氣體源,其係流體耦接至前述反應室;一或多個載體氣體及/或沖洗氣體源,其係流體耦接至前述反應室;一或多個氣體分配系統,以將多種氣體(例如,(多個)前驅物/反應物氣體及/或(多個)載體氣體或沖洗氣體)輸送到一反應室內的基材之表面;及至少一排氣源,其係流體耦接至前述反應室。
在氣相反應器系統中,前驅物之使用可能是符合期望的,前述前驅物在室溫和壓力下可係固體或液體,因為此類前驅物之運輸可係相對容易、其運輸可係相對安全、其可提供符合期望的薄膜及/或沉積性能、且/或其使用可相對不昂貴。典型地,此類前驅物儲存於能夠耦接至反應器的容器中而作為反應器系統之部分。
使用在室溫與壓力下為液態或固態的前驅物可能需要頻繁更換前驅物容器,其可能影響反應器系統的產出量。額外地或替代地,使用大小不同的前驅物容器可能有困難。因此,期望有改良的反應器系統和氣體供應總成。
本揭露各種實施例關於適合與氣相反應器一起使用的氣體供應總成,關於包括前述氣體供應總成之一或多者的反應器系統,以及關於前述氣體供應總成之組件。前述氣體供應總成與氣相反應器系統可用於例如製造電子裝置。雖然在下文更詳細地討論本揭露之各種實施例應對先前總成及系統之缺點的方式,大致上,本揭露之各種實施例提供包括相對大型的前驅物源容器(precursor source vessel)的經改良氣體供應總成和反應器系統,允許前驅物源容器相對容易的移除及/或安裝,且/或允許使用大小相異的前驅物源容器。
依據本揭露之至少一實施例,一氣體供應總成包括一容器、一閥板、一包套前述容器和前述閥板的外殼、具有在前述外殼之內部的一第一端和在前述外殼之外部的一第二端的一氣體饋通件(gas feedthrough)、附接至前述閥板的一或多個閥,其中至少一閥係流體耦接至前述容器之內部,且前述氣體供應總成包括具有耦接至前述至少一閥之一第一端和耦接至前述氣體饋通件之一第二端的一可移除的氣體管線。前述容器可保持(retain)在常溫常壓(NTP)下係固態或液態的前驅物。前述容器之大小可根據應用改變。舉實例而言,對於固態前驅物的使用,前述容器之容量可係大於500 g、或在約500 g與約2 kg之間、或在約500 g與約1.75 kg之間、或在約750 g至約1.5 kg之間;用於保持前述固態前驅物的體積可係在0.25 L與1 L之間。對於液態前驅物的使用,前述容器之容量可係大於0.5 L、或在約0.5 L與約2 L之間、或在約0.75 L與約2 L之間、或在約0.75 L至約1.5 L之間。前述氣體供應總成可包括耦接至(例如,接觸)前述閥板的一或多個閥板整平(leveling)裝置。前述可移除的氣體管線可包括一或多個區段,其相對於彼此斜置(angled)(例如,大於零且小於180度或約60至約120度)。前述氣體饋通件可包括一殼體;前述殼體可包括嵌入於前述殼體內的一或多個加熱器。例示性氣體供應總成可包括前述容器下方的一加熱器以及耦接至(例如,接觸)前述加熱器的一或多個加熱器整平裝置。
依據本揭露的額外實施例,氣體供應總成包括一容器、一閥板、一包套前述容器和前述閥板的外殼、具有在前述外殼之內部之一第一端和在前述外殼之外部之一第二端的一氣體饋通件、附接至前述閥板的複數個閥,其中前述複數個閥的至少一閥係流體耦接至前述容器之內部,且前述氣體供應總成包括耦接至前述閥板的一或多個閥板整平裝置。依據這些實施例之氣體裝置總成可包括一可移除的氣體管線,前述可移除的氣體管線具有耦接至前述至少一閥的一第一端和耦接至前述氣體饋通件的一第二端。前述容器可保持在常溫常壓()下係固態或液態的前驅物。固態前驅物容器的容量可係大於500 g、或在約500 g與約2 kg之間、或在約500 g與約1.75 kg之間、或在約750 g至約1.5 kg之間;用於保持前述固態前驅物的體積可係在0.25 L與1 L之間。液態前驅物容器的容量可係大於0.5 L、或在約0.5 L與約2 L之間、或在約0.75 L與約2 L之間、或在約0.75 L至約1.5 L之間。前述可移除的氣體管線可包括相對於彼此斜置(例如,大於零且小於180度或約60至約120度)的一或多個區段。前述氣體饋通件可包括一殼體;前述殼體可包括嵌入於前述殼體內的一或多個加熱器。例示性氣體供應總成可包括前述容器下方的一加熱器以及耦接至(例如,接觸)前述加熱器的一或多個加熱器整平裝置。
依據本揭露之尚有進一步例示性實施例,一氣相反應器系統包括一或多個如本文中所描述之氣體供應總成。
依據本揭露之尚有進一步例示性實施例,一總成包括一閥板、耦接至(例如,接觸)前述閥板的一或多個閥板整平裝置、一基座、以及用於整平前述閥板的一量測計(gauge)。前述量測計可用於在耦接一容器至前述閥板前整平前述閥板。
所屬技術領域中具有通常知識者將從已參照隨附圖式之某些實施例的下列詳細描述輕易明白這些及其他實施例;本發明並未受限於任何所揭示的一或多個特定實施例。
雖然在下文揭示某些具體實施例及實例,所屬技術領域中具有通常知識者將了解本發明延伸超出本發明之具體揭示的實施例及/或用途以及其明顯的修改與等同物。因此,意欲所揭示之本發明之範疇不應受下文所描述之特定揭示之實施例限制。
本揭露大體上關於氣體供應總成、前述總成之組件、以及包括前述氣體供應總成之一或多者的反應器系統。如本文中描述的氣體供應總成及反應器系統可用於處理諸如半導體晶圓之類的基材,以形成例如電子裝置。舉實例而言,本文中描述的總成及反應器系統可用以在基材表面上形成或生長磊晶層。然而,除非另有註明,不如此限制前述反應器系統和氣體供應總成。
請即參考圖式,第1圖繪示依據本發明之實例的反應器系統100。反應器系統100包括反應室102、氣體分配系統104、氣體供應總成106、排氣源108及控制器110。在所繪示實例中,反應器系統100還包括第二氣體源112。
反應室102可係或可包括一適合用於氣相反應的反應室。反應室102可用適合的材料形成,諸如石英。反應器系統100可包括任何適合數量的反應室102,並可任選地包括一或多個基材處置系統。舉實例而言,反應室102可包括一錯流(cross flow)、冷壁式(cold wall)磊晶反應室。
氣體分配系統104可經組態以提供一或多個前驅物、一或多個反應物、及/或一或多個沖洗氣體及/或載體氣體至反應室102。氣體分配系統104可用於計量及控制前述一或多個前驅物、反應物、沖洗氣體、及/或載體氣體到反應室102的氣體流速。例如,氣體分配系統104可用來計量從氣體供應總成106及/或第二氣體源112到反應室102的多個氣體,每一者具有或沒有載體氣體。
氣體供應總成106可經組態以保持在常溫常壓下為固態或液態之前驅物,並蒸發前述固態前驅物或液態前驅物以將前述前驅物的氣相輸送到反應室102。下文更詳細討論適合用於氣體供應總成106的例示性氣體供應總成。
排氣源108可包括例如一或多個真空源。例示性真空源包括一或多個乾式真空幫浦及/或一或多個渦輪分子幫浦。
控制器110可經組態以執行如本文中描述的各種功能及/或步驟。控制器110可包括一或多個微處理器、記憶體元件、及/或開關元件以執行各種功能。雖然繪示成單一單元,但是控制器110可替代地包括多個裝置。舉實例而言,控制器110可用於控制氣流(例如,藉由監測流速和控制閥)、馬達、控制進入及/或流出氣體分配總成104的冷卻管或通道之冷卻劑的流量、且/或控制加熱器,諸如本文中描述的加熱器之一或多者。
第二氣體源112可包括任何適合的材料。舉實例而言,第二氣體源112可包括在常溫常壓下係氣態、液態或固態的材料。前述材料可用為蝕刻劑、載體氣體或作為沉積製程中的前驅物或反應物。
第2圖繪示適合作為氣體供應總成106使用的氣體供應總成200。氣體供應總成200包括容器202、閥板或托板(pallet)204、外殼206、氣體饋通件208和閥210至228。氣體供應總成200還包括可移除的氣體管線302,繪示於第3圖中。
容器202在第6A圖(頂視圖)和第6B圖(側視圖)中更詳細繪示。在所繪示的實例中,容器202可保持固態前驅物材料。適合在氣體供應總成106中使用的經組態以保持液態前驅物的替代容器繪示於第11圖和第12圖中。
容器202可由任何適合的材料形成。舉實例而言,容器202可由不鏽鋼形成。在其他實施例中,容器202或其組件可由高鎳合金、鋁或鈦形成。應了解的是,容器202或其組件可由任何其他材料形成,前述材料足以允許充分熱轉移以蒸發源容器202內所設置的前驅物,且前述材料同時係惰性的或不與容器202內的前驅物或內容物以任何可察覺程度反應。
在所繪示實例中,容器202包括基座602和內部區段604,前述內部區段604具有形成於其中的凹陷區域606,前述容器並包括蓋230,其可係可移除地附接至基座602。蓋230可包括流體耦接至閥214、222、226之一或多者的複數個開口。
凹陷區域606可直接加工至基座602內。替代地,凹陷區域606可形成在插入至基座602內的一或多個托盤(tray)之內。當蓋230係可移除地附接至基座602時,密封件608可設置在蓋230與基座602之間,以確保容器202內的內容物穩固在彼處之內。在一實施例中,基座602和蓋230由相同材料形成,使得兩者均具有實質上相同的導熱性和相同的熱膨脹係數。在另一實施例中,基座602可由與用以形成蓋230的材料不同的材料形成。
密封件608可係或可包括設置於槽內的O形環,且前述槽係形成在基座602中。在另一實施例中,密封件608可形成為金屬墊圈或V型密封件,其經組態以設置在基座602與蓋230之間。密封件608可形成為任何形狀、大小或組態,前述形狀、大小或組態在蓋230附接至基座602時足以提供密封,且確保容器202內的內容物穩固。在一實施例中,密封件608由彈性體形成,但本領域中具有通常知識者應了解,密封件608可係由足以提供密封的任何其他材料形成,諸如但不限於聚合物或金屬。
蓋230和基座602可經組態以使用例如一或多個附接裝置(例如,螺栓、螺絲或類似者)而彼此機械性附接。在某些實施例中,蓋230及基座602係以氣密方式機械性附接。
凹陷區域606可包括通道路徑610和一或多個墊片(pad)612至616,其可包括例如入口凹陷墊片616、出口凹陷墊片612以及排氣口凹陷墊片(burp recessed pad)614。凹陷墊片612至616可係從基座602之接觸表面618往下延伸的大體上三角形的凹陷區域。凹陷墊片612至616之形狀可係與對應的過濾設備(未繪示)之部份具有實質上相同的形狀和大小,前述對應過濾設備可從蓋230之下表面延伸至基座602內,使得每一過濾設備之一部份被承接於對應的凹陷墊片612至616之內。凹陷墊片612至616從接觸表面618往下延伸至預定義深度。在一實施例中,所有凹陷墊片612至616之深度相同。在另一實施例中,凹陷墊片612至616之至少一者的深度與其他者的深度不同。當基座602被前驅物填充時,凹陷墊片612至616之每一者之內的體積可不被前驅物填充。當載體氣體通過例如過濾設備而引入至基座602內時,載體氣體可先與入口凹陷墊片616接觸並分配於其內,再行進通過凹陷區域606之其餘部分。因為沒有前驅物位於凹陷墊片612至616之任何一者內係較佳的,將載體氣體引入至入口凹陷墊片616內避免了載體氣體直接接觸前驅物,且避免其潛在地壓制前驅物或造成前驅物的粒子與載體氣體互混。在所繪示實例中,凹陷區域606的凹陷墊片612至616之每一者係經由形成於主體602之內的通道610流體連接。
通道610可從接觸表面608延伸,其中通道610係連續通路,氣體沿前述連續通路在入口凹陷墊片616與出口凹陷墊片612之間行進。在另一實施例中,凹陷區域610可不包括凹陷墊片。通道610可形成於主體602內,使得通道610具有大於凹陷墊片612至616的深度之深度。在一實施例中,通道610之深度沿著通道610之完整長度係恆定的。在另一實施例中,通道610之深度沿著通道610之長度改變。
當容器202以液態或固態前驅物材料(未示)填充時,前驅物材料較佳地僅設置於凹陷區域606之通道610內。通道610可填充至凹陷墊片612至616之底表面下方的深度,以避免或緩解前驅物材料之任何一者被設置於凹陷墊片612至616之內。進一步而言,出口凹陷墊片612之底表面可位於前驅物材料之上表面的上方,使得任何前驅物材料粒子傾向於保留在通道610內。
容器202(例如,通道610)的容量可根據應用改變。依據本揭露之實例,容器202(例如,通道610)的容量係大於500 g、或在約500 g與約2 kg之間、或在約500 g與約1.75 kg之間、或在約750 g至約1.5 kg之間;用於保持固態前驅物的體積可係在0.25 L與1 L之間。通道610之深度的範圍可在例如大於30 mm、大於40 mm、或在約30 mm與約120 mm之間、或在約40 mm與約80 mm之間、或在約50 mm與約70 mm之間。
閥板或托板204經組態以保持閥210至228之一或多者。依據本揭露之實例,閥板204駐留於氣體饋通件208之中心線軸232的上方,以容納容器202。
閥210至228可包括任何適合的閥,諸如一可控制閥。舉實例而言,閥210至228可係或可包括電磁閥。
依據本揭露之例示性實施例,可移除的氣體管線302允許容器202在外殼206內相對容易且可組態的安裝。參照第3圖至第5圖,可移除的氣體管線302可包括第一端502、第二端504和在其之間的導管506。第一端502可經組態以流體耦接至氣體饋通件208之第一端304。第二端504可經組態以耦接至一或多個閥210至228,諸如閥210之入口。第一端502可使用密封構件可密封地耦接至第一端304,諸如O形環、金屬墊圈或類似者。第二端504可類似地使用密封構件密封至閥,諸如O形環、金屬墊圈或類似者。進一步言,在第一端502與導管506之間的角度可係大於零且小於180度,或在約60至約120度之間。類似地,在第二端504與導管506之間的角度可係大於零且小於180度,或在約60至約120度之間。
導管506跨越在第一端502與第二端504之間的距離之至少一部份,且係可密封地耦接至第一端502和第二端504。導管506之長度可基於例如容器202之大小或高度選擇(容器之深度可至少部分決定容器之容量和導管506之長度)。因此,總成200可容易地容納不同尺寸的容器202。依據本揭露之實例,導管506之高度之範圍可在約10 mm至約100、約25 mm至約100 mm、約20 mm至約80 mm、或約25 mm至約50 mm。在所繪示實例中,導管506使用耦接器508和第二導管510耦接至第二端504,其長度亦可改變以容納大小相異的容器202。
外殼206可由任何適合的材料形成。舉實例而言,外殼206可由不鏽鋼、鈦或類似者形成。
氣體饋通件208包括在外殼206之內部的第一端304以及在外殼206之外部的第二端702,繪示於第7圖中。在所繪示實例中,氣體饋通件208包括耦接器704,以耦接氣體饋通件208至例如附接至外殼206的耦接器236。氣體饋通件208還包括將管708包套的殼體706。氣體饋通件208還可包括包套在管708與殼體706之間的一或多個加熱器710。
氣體供應總成200可包括氣體管線罩306,以降低可移除的氣體管線302中的熱量損失。
氣體供應總成200還可包括一或多個閥板整平裝置802、902,如第8圖與第9圖中所繪示。在一些情況下,可在容器202安裝前使用一或多個閥板整平裝置802、902整平閥板204。
閥板整平裝置802可包括例如固定銷(set pin)804和用以承接固定銷804的支架806。支架806可包括螺紋區域810,以螺紋承接固定銷804。進一步言,支架806之端812可耦接至閥板204。閥板204在X方向上的整平可使用固定銷804固定(set)。在取得期望的整平時,固定銷804之端808可接觸氣體饋通件208。
閥板整平裝置902可包括固定銷904和支架906。支架906可附接至閥板204。固定銷904可由支撐凸緣908螺紋承接,前述支撐凸緣908可直接或間接耦接至外殼206。閥板204之整平(例如,在X方向上)可經調整,然後使用固定銷904固定,例如藉由造成固定銷904的端910施加針對支架906的力,以藉此固定閥板204在X方向上的位置。
現在參照第10圖,所繪示者係量測計1000,用於在安裝容器前在Y方向上整平閥板204。具體而言,量測計1000可用以將閥板204相對加熱器板1002對準。在所繪示實例中,量測計1000包括第一部份1004(例如,U形支架)和第二部份1006(例如,另一U形支架)。加熱器板1002上的氣泡整平器(bubble leveler)1008和第二部份1006上的氣泡整平器1010可用於整平量測,例如使用一或多個加熱器整平裝置1012。舉實例而言,加熱器板1002之整平可受調整,直到在第一部份1004與加熱器板1002之間的平整接觸被建立。
依據本揭露之額外實施例,第11圖繪示另一氣體供應總成1100,適合與系統100一起使用。氣體供應總成1100類似於氣體供應總成200,除了氣體供應總成1100經組態用於儲存液態前驅物而非固態前驅物。
氣體供應總成1100包括容器1102、閥板或托板1104、外殼1106、氣體饋通件1108、閥1110至1122和液體入口1124。氣體供應總成200還包括可移除的氣體管線302,如第3圖中繪示。
容器1102可由任何適合的材料形成。舉實例而言,容器1102可由例如不鏽鋼、高鎳合金、鋁、鈦或類似者形成。應了解的是,容器1102或其組件可由任何其他材料形成,前述材料足以允許充分熱轉移以蒸發容器1102內所設置的前驅物,且前述材料同時係惰性的或不與容器1102內的前驅物或內容物以任何可察覺程度反應。
在所繪示實例中,容器1102包括基座1202,且基座1202包括內部區段1204,前述內部區段1204具有形成於其中的凹陷區域1206,前述容器並包括蓋1208,其可係可移除地附接至基座1202。蓋1208可包括流體耦接至閥1112、1120之一或兩者的複數個開口。
凹陷區域1206可直接加工至基座1202內。額外地或替代地,凹陷區域1206可係實質上圓柱形。容器1202之容量可係大於0.5 L、或在約0.5 L與約2 L之間、或在約0.75 L與約2 L之間、或在約0.75 L至約1.5 L之間。
密封件1210可設置在蓋1208與基座1202之間,以確保容器1102內的內容物穩固在彼處之內。在一實施例中,基座1202和蓋1208由相同材料形成,使得兩者具有實質上相同的導熱性和相同的熱膨脹係數。在另一實施例中,基座1202可由與用以形成蓋1208之材料不同的材料形成。
密封件1210可係或可包括設置於槽1212內的O形環,前述槽1212形成(例如,加工)於基座1202中。在另一實施例中,密封件1210可形成為金屬墊圈或V型密封件,其經組態以設置在基座1202與蓋1208之間。密封件1210可形成為任何形狀、大小或組態,前述形狀、大小或組態在蓋1208附接至基座1202時足以提供密封,且確保容器1102之內的內容物穩固。在一實施例中,密封件1210由彈性體形成,但本領域中具有通常知識者應了解密封件1210可係由足以提供密封的任何其他材料形成,諸如但不限於聚合物或金屬。
外殼1106、氣體饋通件1108和閥1110至1122可與外殼206、氣體饋通件208和閥210至228相同或類似。
氣體供應總成1100可包括連同氣體供應總成200一起繪示的相同或類似的閥板整平裝置。進一步而言,氣體供應總成200可包括可移除的氣體管線,諸如耦接至例如在閥1110與氣體饋通件1108之間的可移除的氣體管線302。
氣體供應總成1100還可包括承滴盤(drip pan)1302,繪示於第13圖中。總成可包括附接至承滴盤1302的停止件1304。
前述本揭露的實例實施例並未限制本發明的範疇,因為這些實施例僅是本發明之實施例的實例。例如,雖然採用一固態前驅物源容器來示意說明,但是一些實例可不包括固態源前驅物容器。任何等同實施例都意欲在本發明的範疇內。實際上,除本文中所示及所述者以外,所屬技術領域中具有通常知識者可由本說明書明白本揭露之各種修改(諸如所述元件之替代可用組合)。此類修改及實施例亦意欲落在隨附之申請專利範圍的範疇內。
100:反應器系統 102:反應室 104:氣體分配系統 106:氣體供應總成 108:排氣源 110:控制器 112:第二氣體源 200:氣體供應總成 202:容器 204:閥板/托板 206:外殼 208:氣體饋通件 210:閥 212:閥 214:閥 216:閥 218:閥 220:閥 222:閥 224:閥 226:閥 228:閥 230:蓋 232:中心線軸 236:耦接器 302:可移除的氣體管線 304:第一端 306:氣體管線罩 502:第一端 504:第二端 506:導管 508:耦接器 510:第二導管 602:基座 604:內部區段 606:凹陷區域 610:通道路徑 612:墊片 614:墊片 616:墊片 618:接觸表面 702:第二端 704:耦接器 706:殼體 708:管 710:加熱器 802:閥板整平裝置 804:固定銷 806:支架 810:螺紋區域 812:端 902:閥板整平裝置 904:固定銷 906:支架 908:支撐凸緣 910:端 1000:量測計 1002:加熱器板 1004:第一部份 1006:第二部份 1008:氣泡整平器 1010:氣泡整平器 1012:加熱器整平裝置 1100:氣體供應總成 1102:容器 1104:閥板/托板 1106:外殼 1108:氣體饋通件 1110:閥 1112:閥 1114:閥 1116:閥 1118:閥 1120:閥 1122:閥 1124:液體入口 1202:基座 1204:內部區段 1206:凹陷區域 1208:蓋 1210:密封件 1212:槽 1302:承滴盤 1304:停止件
當結合下列說明圖式考慮時,可藉由參照實施方式及申請專利範圍而得到對本揭露之例示性實施例的更完整了解。
第1圖繪示依據本揭露之實例之反應器系統。
第2圖繪示依據本揭露之至少一實施例之氣體供應總成。
第3圖與第4圖繪示依據本揭露之至少一實施例的氣體供應總成之一部份。
第5圖繪示依據本揭露之至少一實施例之可移除的氣體管線。
第6A圖與第6B圖繪示依據本揭露之至少一實施例之固態源容器。
第7圖繪示依據本揭露的至少一實施例之氣體饋通件。
第8圖與第9圖繪示依據本揭露之進一步實例之整平裝置。
第10圖繪示依據本揭露之尚有額外實施例之量測計。
第11圖繪示依據本揭露之至少一其他實施例之氣體供應總成。
第12圖繪示依據本揭露之進一步實例之容器。
第13圖繪示依據本揭露之至少一其他實施例之氣體供應總成的一部份。
將理解的是,圖式中之元件係為了簡明及清楚起見而繪示,且不一定按比例描繪。例如,圖式中的一些元件之尺寸可相對於其他元件誇大,以幫助提升對本揭露所繪示之實施例的瞭解。
200:氣體供應總成
202:容器
204:閥板/托板
206:外殼
208:氣體饋通件
210:閥
212:閥
214:閥
216:閥
218:閥
220:閥
222:閥
224:閥
226:閥
228:閥
230:蓋
232:中心線軸
236:耦接器
908:支撐凸緣

Claims (20)

  1. 一種氣體供應總成,其包含: 一容器; 一閥板; 一外殼,該外殼包套該容器和該閥板; 一氣體饋通件,該氣體饋通件具有在該外殼內部的一第一端和在該外殼外部的一第二端; 一或多個閥,該一或多個閥附接至該閥板,其中至少一閥係流體耦接至該容器之內部;以及 一可移除的氣體管線,該可移除的氣體管線具有耦接至該至少一閥的一第一端和耦接至該氣體饋通件的一第二端。
  2. 如請求項1所述的氣體供應總成,其進一步包含在該容器與該閥板之間的一蓋。
  3. 如請求項1所述的氣體供應總成,其中該容器保持一固態前驅物。
  4. 如請求項3所述的氣體供應總成,其中該容器之容量大於500 g、或在約500 g與約2 kg之間、或在約500 g與約1.75 kg之間、或在約750 g至約1.5 kg之間。
  5. 如請求項1所述的氣體供應總成,其中該容器保持一液態前驅物。
  6. 如請求項5所述的氣體供應總成,其中該容器之容量大於0.5 L、或在約0.5 L與約2 L之間、或在約0.75 L與約2 L之間、或在約0.75 L至約1.5 L之間。
  7. 如請求項1所述的氣體供應總成,其進一步包含耦接至該閥板的一或多個閥板整平裝置。
  8. 如請求項7所述的氣體供應總成,其中該一或多個閥板整平裝置之至少一者包含一固定銷。
  9. 如請求項1所述的氣體供應總成,其進一步包含一承滴盤和附接至該承滴盤的一停止件。
  10. 如請求項1所述的氣體供應總成,其中該可移除的氣體管線包含一第一端和耦接至該第一端的一導管。
  11. 如請求項10所述的氣體供應總成,其中在該第一端與該導管之間的一角度係大於零且小於180度。
  12. 如請求項10所述的氣體供應總成,其進一步包含在該第一端與一第二端之間的一耦接器。
  13. 如請求項1所述的氣體供應總成,其進一步包含上覆該可移除的氣體管線之至少一部份的一氣體管線罩。
  14. 如請求項1所述的氣體供應總成,其中該氣體饋通件包含一殼體。
  15. 如請求項14所述的氣體供應總成,其中該氣體饋通件進一步包含嵌入於該殼體內的一加熱器。
  16. 一種氣體供應總成,其包含: 一容器; 一閥板; 一外殼,該外殼包套該容器和該閥板; 一氣體饋通件,該氣體饋通件具有在該外殼內部的一第一端和在該外殼外部的一第二端; 複數個閥,該複數個閥附接至該閥板,其中該複數個閥之至少一閥係流體耦接至該容器之內部;以及 一或多個閥板整平裝置,該一或多個閥板整平裝置耦接至該閥板。
  17. 如請求項16所述的氣體供應總成,其進一步包含一可移除的氣體管線,該可移除的氣體管線具有耦接至該至少一閥的一第一端和耦接至該氣體饋通件的一第二端。
  18. 如請求項16所述的氣體供應總成,其進一步包含在該容器下方的一加熱器板。
  19. 如請求項18所述的氣體供應總成,其進一步包含耦接至該加熱器的一或多個加熱器整平裝置。
  20. 一種總成,其包含: 一閥板; 一或多個閥板整平裝置,該一或多個閥板整平裝置耦接至該閥板; 一基座;以及 一量測計,該量測計用於整平一閥板。
TW109145684A 2020-01-06 2020-12-23 氣體供應總成以及閥板總成 TW202140135A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062957647P 2020-01-06 2020-01-06
US62/957,647 2020-01-06

Publications (1)

Publication Number Publication Date
TW202140135A true TW202140135A (zh) 2021-11-01

Family

ID=76609164

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109145684A TW202140135A (zh) 2020-01-06 2020-12-23 氣體供應總成以及閥板總成

Country Status (5)

Country Link
US (1) US20210207269A1 (zh)
JP (1) JP2021109175A (zh)
KR (1) KR20210089077A (zh)
CN (1) CN113069999A (zh)
TW (1) TW202140135A (zh)

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5779203A (en) * 1996-06-28 1998-07-14 Edlinger; Erich Adjustable wafer cassette stand
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US7780789B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Vortex chamber lids for atomic layer deposition
US20050000428A1 (en) * 2003-05-16 2005-01-06 Shero Eric J. Method and apparatus for vaporizing and delivering reactant
US8152922B2 (en) * 2003-08-29 2012-04-10 Asm America, Inc. Gas mixer and manifold assembly for ALD reactor
US7048008B2 (en) * 2004-04-13 2006-05-23 Ultra Clean Holdings, Inc. Gas-panel assembly
US9032990B2 (en) * 2011-04-25 2015-05-19 Applied Materials, Inc. Chemical delivery system
US11926894B2 (en) * 2016-09-30 2024-03-12 Asm Ip Holding B.V. Reactant vaporizer and related systems and methods

Also Published As

Publication number Publication date
JP2021109175A (ja) 2021-08-02
KR20210089077A (ko) 2021-07-15
US20210207269A1 (en) 2021-07-08
CN113069999A (zh) 2021-07-06

Similar Documents

Publication Publication Date Title
TW202140135A (zh) 氣體供應總成以及閥板總成
US20240011189A1 (en) Gas-phase reactor system-with a reaction chamber, a solid precursor source vessel, a gas distribution system, and a flange assembly
US10612136B2 (en) Temperature-controlled flange and reactor system including same
KR101076518B1 (ko) 화학 기상 증착을 위한 장치 및 방법
US10557203B2 (en) Temperature control system and process for gaseous precursor delivery
US20120247391A1 (en) Vertical batch-type film forming apparatus
US9206512B2 (en) Gas distribution system
JP6717632B2 (ja) 蒸着処理装置
EP4293135A1 (en) Stage for heating and cooling object
US11959168B2 (en) Solid source precursor vessel
KR20200046910A (ko) h-BN 성장용 LPCVD 시스템
US20230313411A1 (en) Vapor phase growth apparatus and vapor phase growth method
WO2022130926A1 (ja) 気相成長装置及び気相成長方法
TWI837369B (zh) 具有驅淨功能的用於腐蝕性氣體的蒸氣存儲器
KR20240005783A (ko) 시약을 저장하고 전달하기 위한 용기 및 방법
JP2023108616A (ja) 原料容器重量モニタリングを有する反応器システム
TW202315969A (zh) 源容器、及半導體處理系統
TW202322266A (zh) 模組化反應室總成
TW202321510A (zh) 反應器系統及其清潔方法