TWI761636B - 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 - Google Patents

電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 Download PDF

Info

Publication number
TWI761636B
TWI761636B TW107143225A TW107143225A TWI761636B TW I761636 B TWI761636 B TW I761636B TW 107143225 A TW107143225 A TW 107143225A TW 107143225 A TW107143225 A TW 107143225A TW I761636 B TWI761636 B TW I761636B
Authority
TW
Taiwan
Prior art keywords
plasma
atomic layer
substrate
layer deposition
enhanced atomic
Prior art date
Application number
TW107143225A
Other languages
English (en)
Other versions
TW201925526A (zh
Inventor
凌云 賈
維爾傑米 波爾
艾娃 多益斯
仙子 金
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201925526A publication Critical patent/TW201925526A/zh
Application granted granted Critical
Publication of TWI761636B publication Critical patent/TWI761636B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45553Atomic layer deposition [ALD] characterized by the use of precursors specially adapted for ALD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

提供在基板的兩個或更多個不同表面上沉積碳氧化矽的 電漿增強型原子層沉積(PEALD)製程。舉例來說,碳氧化矽可同時沉積於第一介電質表面及第二金屬或金屬性表面上。電漿增強型原子層沉積製程可包括兩個或更多個用來於兩表面上形成碳氧化矽的沉積循環。沉積循環可包括使基板交替地及依序地與包含矽的第一前驅物及諸如氬/氫(Ar/H2)電漿的第二電漿反應物接觸。在一些實施例中,電漿增強型原子層沉積製程進一步包括在開始沉積循環的前使基板與電漿反應物接觸。在一些實施例中,將沉積循環重複多於500次且可於兩不同表面上形成均勻的碳氧化矽膜。

Description

電漿增強型原子層沉積製程及沉積碳氧化矽薄 膜的方法
本申請案大致是關於碳氧化矽(SiOC)的電漿增強型原子層沉積(plasma enhanced atomic layer deposition;PEALD)。
[相關申請案的交叉參考]本申請案主張2017年12月4日提出申請的美國臨時申請案第62/594,474號的優先權,該案全文以引用的方式併入本文。
在半導體工業中,需以良好均勻性於不同基板表面上沉積低介電常數間隔件(low-K spacer;LKS)。可由不同材料構成這些不同的表面,例如金屬及介電質。於兩種或更多種不同基板表面上均勻沉積一種材料可能是有好處的,例如,藉由此來減少裝置製造中的步驟數。亦有具有低介電常數(k)值及低蝕刻速率的介電材料的需求,例如,在諸如氫氟酸(HF)的酸基蝕刻溶液中。
根據一個態樣,提供一種用來在基板的兩個或更多個不同表面上沉積碳氧化矽(SiOC)的電漿增強型原子層沉積製程。在一些實施例中,電漿增強型原子層沉積製程可包括提供包括第一表面及第二表面的基板,其中第一表面包含不同於第二表面的材料,及於兩表面上進行兩個或更多個用來形成碳氧化矽的沉積循環。沉積循環可包括使基板交替地及依序地與包含矽的第一前驅物及第二電漿反應物接觸。在一些實施例中,電漿增強型原子層沉積製程進一步包括在開始沉積循環之前使基板與電漿反應物接觸。在一些實施例中,重複沉積循環多於500次。在一些實施例中,將碳氧化矽膜均勻地沉積於第一表面及第二表面上。在一些實施例中,碳氧化矽膜具有小於4的介電常數值。
在一些實施例中,第一表面是金屬表面及第二表面是介電質表面。在一些實施例中,介電質表面包括二氧化矽(SiO2)。在一些實施例中,金屬表面包含鎢(W)。
在一些實施例中,第一前驅物是矽前驅物。在一些實施例中,矽前驅物具有化學式Si(ORI)4-xRII x,使得x=0至3,RI是具有1至5個碳的烷基及RII是任何含有碳及/或氫及/或氧的配位基(ligand)且所有的RI及RII基團可獨立地選擇。在一些實施例中,RII具有烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基的官能基且所有RI及RII基團可彼此獨立地選擇。在一些實施例中,第一前驅物為3-甲氧基丙基三甲氧基矽烷 ((3-Mercaptopropyl)trimethoxysilane;MPTMS)。
在一些實施例中,電漿反應物包含氬/氫(Ar/H2)電漿。在一些實施例中,電漿反應物不包含氧物種。
在一些實施例中,第一前驅物是3-甲氧基丙基三甲氧基矽烷及第二反應物包含氬/氫電漿。
在一些實施例中,將碳氧化矽薄膜沉積於基板的第一介電質表面及同一基板的第二金屬表面兩者上的方法包括兩個或更多個沉積循環,其中使基板交替地及依序地與包含矽的第一反應物及不包含氧物種的第二電漿反應物接觸。在一些實施例中,第一反應物是3-甲氧基丙基三甲氧基矽烷及第二反應物是於氬/氫氣體中產生的電漿。在一些實施例中,介電質表面包含二氧化矽及金屬表面包含鎢。
在一些實施例中,描述電漿增強型原子層沉積製程,其用於將碳氧化矽沉積於包含二氧化矽的基板的第一表面及包含鎢的基板的第二表面上。電漿增強型原子層沉積製程可包括兩個或更多個沉積循環,其依序包括以下步驟。使基板與包含3-甲氧基丙基三甲氧基矽烷的第一反應物接觸。使基板與沖洗氣體(purge gas)接觸。使基板與氬/氫電漿接觸。以及,再次使基板與沖洗氣體接觸。在一些實施例中,該製程另包括在第一沉積循環之前使基板與電漿反應物接觸。在一些實施例中,使碳氧化矽均勻地沉積於第一及第二表面上。
圖1是沉積於介電質及金屬表面兩者上的均勻碳氧化矽層的示意圖。
圖2是在200℃及200W下沉積於鎢及二氧化矽圖案上的碳氧化矽膜的掃描穿透電子顯微鏡(STEM)。左圖顯示於1000個沉積循環後的膜。右圖顯示於500個沉積循環後的膜。
圖3顯示在500個沉積循環後沉積於12nm物理氣相沉積的氮化鈦(PVD TiN)金屬性表面上的與3-甲氧基丙基三甲氧基矽烷相關的碳氧化矽的X-射線反射率(X-ray reflectivity;XRR)光譜。
如熟習本領域的技術人員將顯而易見的,碳氧化矽膜例如在積體電路製造中具有廣泛多種應用。在一些態樣中,將碳氧化矽膜沉積於兩個或更多個不同表面,例如,如圖1中所說明的金屬表面及介電質表面上。在一些實施例中,碳氧化矽膜是藉由單一沉積製程沉積於兩個或更多個不同表面上。舉例來說,碳氧化矽膜可藉由電漿增強型原子層沉積製程沉積於基板的兩個不同表面上。在一些態樣中,藉由無氧電漿增強型原子層沉積製程將例如於氫氟酸或稀氫氟酸中具有低蝕刻速率的碳氧化矽膜沉積於包含第一材料的第一表面及包含不同的第二材料的第二表面上。在一些實施例中,製程使用矽-烷氧基類前驅物及電漿,諸如氬/ 氫電漿。製程可被控制並於多種不同基板表面上達成均勻的膜沉積。
根據一些實施例,碳氧化矽膜是使用電漿增強型原子層沉積製程來沉積,其包括使基板交替地與第一矽烷氧基前驅物及電漿反應物(諸如氬/氫電漿)接觸。第一前驅物可包含氧及矽兩者。膜生長於第一表面(諸如介電質表面)及第二不同表面(諸如金屬或金屬性表面)上。過量的反應物及/或反應副產物可於接觸步驟之間,以諸如經由將基板暴露至沖洗氣體使過量的反應物及/或反應副產物自基板表面移除。
在一些實施例中,提供包含第一表面(諸如介電質表面)及第二不同表面(諸如金屬表面)的基板。使該基板交替地及依序地與矽前驅物(諸如矽烷氧基前驅物)及電漿反應物(諸如氬/氫電漿)接觸。電漿及矽前驅物可以脈衝(pulse)的形式被提供,並透過沖洗彼此間隔開。若有過量反應物及反應副產物則藉由沖洗自基板表面移除。在一些實施例中,使第一表面及第二表面在矽反應物及電漿的脈衝之間與沖洗氣體接觸。
在一些實施例中,沉積製程始於矽反應物脈衝,且反應順序、或沉積循環可被重複期望的次數(A):A x(矽前驅物脈衝/沖洗/電漿脈衝/沖洗)
在一些實施例中,沉積循環始於電漿脈衝,其後為矽前驅物脈衝。
在一些實施例中,重複沉積循環直至將均勻的碳氧化矽 膜沉積於兩個或更多個不同表面上為止。在一些實施例中,將沉積循環重複至少100次、至少200次、至少300次,至少400次、或至少500次。在一些實施例中,重複沉積循環至少1000次。可重複沉積循環直至已將期望厚度的膜形成於兩個或更多個不同表面上為止。
藉由選擇特定製程條件,諸如反應物的性質、溫度、脈衝及沖洗次數、電漿功率、及沉積循環數,可於兩個或更多個不同表面上達成所期望均勻度的沉積。在一些實施例中,可調整製程條件,諸如電漿功率,使得於兩個或更多個不同表面上均勻地進行生長。
在一些實施例中,當膜於至少第一表面與第二不同表面之間具有變化小於75%、小於50%、小於40%、小於30%、小於20%、小於15%、小於10%、小於9%、小於8%、小於7%、小於6%、小於5%、小於4%、小於3%、小於2%或甚至小於1%的厚度時,將其視為均勻地沉積。在一些實施例中,厚度是經測量為沉積於特定表面上的膜的平均厚度。在一些實施例中,均勻性是經測量為在兩個不同表面間測得的實際厚度變化。
在一些實施例中,電漿反應物是以氫為主。舉例來說,電漿可於氫氣氣體或氫氣及稀有氣體(諸如氬氣)的混合物中產生。在一些實施例中,電漿是於氫氣及氬氣氣體的混合物中產生(稱為氬/氫電漿)。在一些實施例中,電漿不含氧氣。換言的,電漿是在不含氧的氣體或氣體混合物中產生。
在一些實施例中,例如是含氫電漿的電漿可藉由向反應物氣體或氣體混合物施加約5W至約5000W、約10W至約2000W、約50W至約1000W或約100W至約500W的射頻(RF)功率來產生。在一些實施例中,射頻功率密度可約0.02W/cm2至約2.0W/cm2、或約0.05W/cm2至約1.5W/cm2。可將射頻功率施加至在電漿接觸時間期間流動、連續流經反應室、及/或流經遠端電漿產生器的氣體。因此,在一些實施例中,就地(in situ)產生電漿,而在其他實施例中,在遠端產生電漿。在一些實施例中,利用噴淋頭(showerhead)反應器且在晶座(被定位的基板的頂部上)與噴淋頭板的間產生電漿。
在一些實施例中,沉積速率在兩表面上相似。在一些實施例中,沉積速率在兩個或更多個不同表面的間不同。舉例來說,在一些實施例中,在其中一個表面上可能具有相對於另一者長的培養時間(incubation time)。在一些實施例中,在一個表面上的生長速率可能不同於在另一表面上。在一些實施例中,在一或多個表面上的沉積速率可隨時間改變。舉例來說,在一個表面上的沉積速率可能增加,同時在第二表面上的沉積速率維持恆定。
在一些實施例中,可選擇沉積時間以於兩個或更多個不同表面上,諸如於同一基板的介電質表面及金屬表面兩者上,達成相似、相對均勻厚度的碳氧化矽膜的沉積。
在一些實施例中,選擇沉積循環數以於基板的兩個或更多個不同表面上達成相似、相對均勻厚度的碳氧化矽膜的沉積。
在一些實施例中,沉積製程是於低處理溫度下進行。在一些實施例中,沉積溫度是低於約500℃、低於約400℃、低於約300℃、低於約200℃或低於約100℃。在一些實施例中,碳氧化矽膜是在約100℃至約300℃的溫度下沉積。在一些實施例中,碳氧化矽膜是在約150℃至250℃的溫度下沉積。在一些實施例中,碳氧化矽膜是在約200℃的溫度下沉積。
在一些實施例中,碳氧化矽膜是在同一沉積製程中沉積於包含不同材料的兩個或更多個不同表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於包含兩種或更多種不同材料的兩個或更多個不同表面上,例如各包含不同材料的三個或更多個不同表面、各包含不同材料的四個或更多個不同表面等。在一些實施例中,兩個或更多個不同表面是在同一基板上。在一些實施例中,兩個或更多個表面相鄰。
在一些實施例中,碳氧化矽膜是沉積於基板的所有表面上。
在一些實施例中,碳氧化矽膜是沉積於兩個或更多個不同表面上,其中該兩個或更多個不同表面包含,例如,金屬、氧化物、氧化金屬、天然金屬氧化物、天然二氧化矽、矽、介電質、二氧化矽、及/或氮化矽(Si3N4)。
在一些實施例中,碳氧化矽膜是沉積於兩個不同表面上,其中一個表面是介電材料且另一表面包含金屬或金屬性材料。
在一些實施例中,介電質表面可為,例如,二氧化矽表 面或低介電常數表面。在一些實施例中,介電材料包含二氧化矽、一氮化矽(SiN)及多晶矽中的一或多者。在一些實施例中,介電材料是二氧化矽。在一些實施例中,介電材料是一氮化矽。在一些實施例中,介電材料是多晶矽。
在一些實施例中,碳氧化矽膜是沉積於第一表面及包含不同於第一表面的材料的第二表面兩者上。舉例來說,碳氧化矽膜可沉積於第一金屬或金屬性表面及第二介電質表面上。在一些實施例中,碳氧化矽膜是沉積於二氧化矽表面及金屬表面上。在一些實施例中,碳氧化矽膜是沉積於二氧化矽表面及鎢表面上。在一些實施例中,碳氧化矽膜是沉積於二氧化矽表面及氮化鈦表面上。在一些實施例中,碳氧化矽膜是沉積於鎢表面及一氮化矽表面上。在一些實施例中,碳氧化矽膜是沉積於氮化鈦表面及一氮化矽表面上。在一些實施例中,碳氧化矽膜是沉積於鎢表面及多晶矽表面上。在一些實施例中,碳氧化矽膜是沉積於氮化鈦表面及多晶矽表面上。
在一些實施例中,碳氧化矽膜是以良好的均勻性沉積於第一表面及包含不同於第一表面的材料的第二表面兩者上。舉例來說,碳氧化矽膜可同時地及均勻地沉積於第一金屬或金屬性表面及第二介電質表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於二氧化矽表面及金屬表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於二氧化矽表面及鎢表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於二氧化矽表面及氮化鈦表面上。在一 些實施例中,碳氧化矽膜是均勻地沉積於鎢表面及一氮化矽表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於氮化鈦表面及一氮化矽表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於鎢表面及多晶矽表面上。在一些實施例中,碳氧化矽膜是均勻地沉積於氮化鈦表面及多晶矽表面上。
除非另外指定,否則若於本文中將表面稱為金屬表面,其可為金屬表面或金屬性表面。在一些實施例中,金屬或金屬性表面可包含金屬、金屬氧化物、及/或其混合物。在一些實施例中,金屬或金屬性表面可包含表面氧化。在一些實施例中,金屬或金屬性表面的金屬或金屬性材料於存在或不存在表面氧化的情況下具有導電性。在一些實施例中,金屬或金屬性表面包含一或多種過渡金屬。在一些實施例中,金屬或金屬性表面包含鋁(Al)、銅(Cu)、鈷(Co)、鎳(Ni)、鎢、鈮(Nb)、及鐵(Fe)中的一或多者。在一些實施例中,金屬或金屬性表面包含鎢。在一些實施例中,金屬或金屬性表面包含一或多種貴金屬,諸如釕(Ru)。在一些實施例中,金屬或金屬性表面包含一種傳導性金屬氧化物、氮化物、碳化物、硼化物、或其組合。在一些實施例中,基板可包含金屬氮化物,包括但不限於氮化鈦及/或氮化鉭(TaN)。在一些實施例中,金屬表面可包含金屬碳化物。在一些實施例中,金屬表面可包含金屬硫化物。
為方便及簡單起見,氧碳化矽膜的化學式在本文中一般被稱作碳氧化矽。如本文中所使用,碳氧化矽並不意欲限制、侷 限、或界定膜中矽、氧、碳及/或任何其他元素中任一者的鍵結或化學狀態,例如氧化態。此外,在一些實施例中,碳氧化矽薄膜可包含除矽、氧及碳以外外的一或多種元素。
在一些實施例中,碳氧化矽可包含以原子計約0%至約30%碳。在一些實施例中,碳氧化矽膜可包含以原子計約0%至約60%氧。在一些實施例中,碳氧化矽膜可包含以原子計約0%至約50%矽。
在一些實施例中,碳氧化矽膜具有低介電常數值。在一些實施例中,碳氧化矽膜具有小於4的介電常數值。
在一些實施例中,將其上需要沉積的基板(諸如半導體工件)載入至反應空間或反應器中。反應器可為於其中進行積體電路形成中的各種不同製程的群集工具的一部分。在一些實施例中,利用流動型反應器。在一些實施例中,利用噴淋頭型反應器。在一些實施例中,利用空間分割反應器。在一些實施例中,使用能夠進行大量製造的單晶圓原子層沉積反應器。在其他實施例中,使用包含多個基板的分批式(batch)反應器。對於使用分批式原子層沉積反應器的實施例,基板的數目在10至200的範圍內,在50至150的範圍內或在100至130的範圍內。
可使用的合適反應器的實例包括市售設備,諸如可購自亞利桑那州鳳凰城(Phoenix,Arizona)的ASM America,Inc.及荷蘭阿爾梅勒(Almere,Netherlands)的ASM Europe B.V.的F-120®反應器、F-450®反應器、Pulsar®反應器-諸如Pulsar®2000及Pulsar® 3000-EmerALD®反應器及Advance® 400系列反應器。其他市售反應器包括來自ASM Japan K.K(日本東京)商品名為Eagle® XP及XP8的這些反應器。
在一些實施例中,若有需要或期望,可預處理基板的一或多個被暴露的表面以提供與電漿增強型原子層沉積製程的第一階段反應的反應性部位。在一些實施例中,不需要個別的預處理步驟。在一些實施例中,預處理基板以提供期望的表面終止。在一些實施例中,利用電漿預處理基板的一或多個表面。在一些實施例中,利用電漿(例如利用H電漿)預處理欲於其上沉積碳氧化矽的兩個或更多個表面。
在一些實施例中,使金屬或金屬性表面在沉積前受到表面處理。舉例來說,可藉由暴露於電漿來處理金屬或金屬性表面。在一些實施例中,金屬或金屬性表面可在沉積前利用H電漿處理。在一些實施例中,在沉積前利用H電漿處理鎢表面。
在一些實施例中,使基板與第一矽前驅物及第二電漿反應物接觸。在一些實施例中,沉積製程不含鹵素。在一些實施例中,沉積製程不含氧。
在一些實施例中,矽前驅物具有化學式(1.):Si(ORI)4-xRII x
其中x=0至3,RI是具有1至5個碳的烷基及RII是任何含有碳及/或氫及/或氧的配位基。RII可具有,例如,烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基官能性。 所有RI及RII基團可彼此獨立地選擇。
在一些實施例中,矽前驅物為3-甲氧基丙基三甲氧基矽烷。在實例化合物3-甲氧基丙基三甲氧基矽烷中,RI是甲基,RII是3-甲氧丙基,及x是1。
如前文所述,在一些實施例中,電漿增強型原子層沉積製程不包含氧電漿或含氧物種的電漿。
在一些實施例中,為形成碳氧化矽膜,每一電漿增強型原子層沉積循環包含至少兩個相異階段。可將反應物與基板的接觸及自基板移除反應物視為一階段。
在第一階段中,包含矽的氣相第一反應物接觸基板並於期望沉積的表面上形成不超過約一個單層。此反應物在本文中亦被稱作「矽前驅物」、「含矽前驅物」或「矽反應物」,且可以是例如3-甲氧基丙基三甲氧基矽烷。在一些實施例中,第一反應物同時包含矽及氧。
在第二階段中,包含反應性物種的第二反應物接觸基板表面且可於介電質表面上將被吸附的矽轉變為碳氧化矽。如前文所論述,在一些實施例中,第二反應物包含氫電漿,諸如氫氣/氬氣電漿。
在一些實施例中,用來形成電漿的氣體可在整個沉積製程中恆定地流動,但僅間歇地被啟動。
在一些實施例中,用來形成電漿的氣體不包含氧。在一些實施例中,所吸附的矽前驅物不與由來自氧氣的電漿產生的反 應性物種接觸。在一些實施例中,於不包含氧的氣體中產生包含反應性物種的第二反應物。舉例而言,在一些實施例中,第二反應物可包含在不包含氧氣的氣體中產生的電漿。在一些實施例中,可於包含少於約1原子百分比(at%)的氧、少於約0.1原子百分比的氧、少於約0.01原子百分比的氧、或少於約0.001原子百分比的氧的氣體中產生第二反應物。
可視需要添加額外階段且可移除階段來調整最終膜的組成。
在一些實施例中,為沉積碳氧化矽膜,一或多個沉積循環始於使基板與矽前驅物接觸,隨後再與第二電漿反應物接觸。在其他實施例中,沉積可始於使基板與第二電漿反應物接觸,隨後再與矽前驅物接觸。
在反應物接觸階段之間,自基板附近且尤其自基板表面移除過量的反應物及反應副產物(若存在)。在一些實施例中,過量的反應物及反應副產物(若存在)是藉由例如在反應物接觸階段之間沖洗反應室,諸如藉由利用惰性氣體沖洗而自基板表面移除。每一反應物的流動速率及接觸時間是可調整的,正如移除步驟一樣,從而允許控制膜的品質及各種性質。
沉積循環是經重複直至於期望表面上獲得期望厚度的碳氧化矽膜為止。在一些實施例中,可在電漿增強型原子層沉積製程期間於一或多個沉積循環中改變沉積參數,諸如前驅物流動速率、接觸時間、移除時間、及/或反應物本身,以於兩個或更多個 不同表面上獲得具有期望特性的膜。
在一些實施例中,第二電漿反應物是在兩個或多於兩個相異的脈衝中被提供,而不在兩個或多於兩個脈衝中的任何一者的間引入另一反應物。舉例而言,在一些實施例中,電漿是在兩個或多於兩個依序的脈衝中被提供,而不在依序的電漿脈衝之間引入矽前驅物。在一些實施例中,在提供電漿期間,藉由以下步驟產生兩個或多於兩個依序的電漿脈衝。提供電漿放電持續第一時間段。熄滅電漿放電持續第二時間段,例如約0.1秒至約10秒、約0.5秒至約5秒或約1.0秒至約4.0秒。以及,再次激發電漿放電持續第三時間段,隨後引入另一前驅物或移除步驟,諸如隨後引入矽前驅物或沖洗步驟。額外的電漿脈衝可以同一方式引入。在一些實施例中,在這些脈衝的每一者中,電漿點火持續相等的時間段。
在一些實施例中,移除過量的反應物及反應副產物(若存在),可包含沖洗反應室。在一些實施例中,可藉由停止第二反應物的流動,同時使載氣(carrier gas)或沖洗氣體繼續流動足夠的時間,以使過量的反應物及反應副產物(若存在)自反應空間擴散或排出來沖洗反應室。在一些實施例中,過量的第二前驅物是藉助在整個電漿增強型原子層沉積循環中流動的諸如氮或氬的惰性氣體來沖洗。在一些實施例中,可將基板自含有第二反應物的反應空間移動至不同的反應空間。在一些實施例中,移除可持續約0.1秒至約10秒、約0.1秒至約4秒或約0.1秒至約0.5秒。反應性物 種接觸及移除一起表示為碳氧化矽原子層沉積循環中的第二反應性物種階段。
根據本發明的一些實施例,在處理期間反應室的壓力維持在約0.01托(Torr)至約50托、或約0.1托至約10托。在一些實施例中,反應室的壓力大於約6托或約20托。
根據一些實施例,碳氧化矽薄膜是在反應空間中藉由包括至少一個循環的電漿增強型原子層沉積沉積製程沉積於基板的兩個或多於兩個不同表面上,該循環包括以下步驟。
使基板與矽反應物接觸,以使矽物種吸附於基板表面上。
若有過量的矽反應物及反應副產物,自基板表面移除。
使基板與包含由電漿產生的反應性物種的第二反應物接觸。
若有過量的第二反應物及反應副產物,自基板表面移除。
以及,視情況重複接觸及移除步驟,以於兩個或多於兩個不同表面上形成所期望的厚度及組成的碳氧化矽薄膜。
實施例
使用直接電漿電漿增強型原子層沉積反應器,藉由電漿增強型原子層沉積使用3-甲氧基丙基三甲氧基矽烷作為矽前驅物來沉積碳氧化矽膜。由於化合物的足夠高的蒸氣壓,不需要加熱前驅物來產生氣相反應物。在200℃的沉積溫度下進行實驗。製程的每個循環的生長速率(growth rate per cycle;GPC)通常是大約0.2Å/c。圖2顯示在200℃下於包含鎢及二氧化矽的相鄰水平表 面的基板上沉積的碳氧化矽膜的掃描電子顯微鏡(SEM)影像。
如圖2的右圖所示,於500個循環後,碳氧化矽膜沉積於二氧化矽表面上,同時於相鄰鎢表面上幾乎未觀察到沉積。然而,如圖2的左圖所示,於較長的沉積時間,明確言為1000個循環後,碳氧化矽於鎢及二氧化矽表面兩者上沉積至相似程度。雖然可於鎢及二氧化矽表面的間觀察到碳氧化矽層的厚度的一些差異,但預期厚度差異會隨再更長的沉積而減少。觀察到的結果指出鎢表面上的碳氧化矽沉積相較於二氧化矽表面上有更長的培養時間。
圖3顯示使用3-甲氧基丙基三甲氧基矽烷作為矽前驅物於500個循環後將約6.2nm的碳氧化矽膜沉積於氮化鈦表面上,其指出相較於天然氧化物表面上所觀察到的碳氧化矽膜的每個循環的生長,使用3-甲氧基丙基三甲氧基矽烷作為矽前驅物於500個循環後將約6.2nm的碳氧化矽膜沉積於氮化鈦表面上的每個循環的生長稍低。

Claims (20)

  1. 一種電漿增強型原子層沉積(PEALD)製程,其用於將碳氧化矽(SiOC)沉積於基板的兩個或更多個不同表面上,包括:提供包括第一表面及第二表面的基板,其中該第一表面包含不同於該第二表面的材料,其中該第一表面是金屬表面及該第二表面是介電質表面;進行兩個或更多個沉積循環,該些沉積循環包括使該基板的該第一表面及該第二表面交替地及依序地與包含矽的第一前驅物及第二電漿反應物接觸。
  2. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該介電質表面包含二氧化矽。
  3. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該金屬表面包含鎢。
  4. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該第二電漿反應物包含氬/氫電漿。
  5. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該第二電漿反應物不包含氧物種。
  6. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該第一前驅物是矽前驅物。
  7. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該第一前驅物具有化學式Si(ORI)4-xRII x,其中x=0至3,RI是具有1至5個碳的烷基及RII是任何含有碳及/或 氫及/或氧的配位基,且所有的RI及RII基團可獨立地選擇。
  8. 如申請專利範圍第7項所述的電漿增強型原子層沉積製程,其中RII具有烯基、炔基、苯基、羰基、醛、酯、醚、羧基、過氧基或氫過氧基的官能基,且其中所有RI及RII基團可彼此獨立地選擇。
  9. 如申請專利範圍第7項所述的電漿增強型原子層沉積(PEALD)製程,其中該第一前驅物是3-甲氧基丙基三甲氧基矽烷。
  10. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該第一前驅物是3-甲氧基丙基三甲氧基矽烷(MPTMS)及該第二電漿反應物包含氬/氫(Ar/H2)電漿。
  11. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,另外包括在開始該沉積循環之前使該基板與電漿反應物接觸。
  12. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該碳氧化矽均勻地被沉積於該第一表面及該第二表面上。
  13. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中重複該沉積循環多於500次。
  14. 如申請專利範圍第1項所述的電漿增強型原子層沉積(PEALD)製程,其中該碳氧化矽形成具有小於4的介電常數(k)值的膜。
  15. 一種沉積碳氧化矽薄膜的方法,其將碳氧化矽薄膜沉 積於基板的第一介電質表面及同一該基板的第二金屬表面兩者上,包括:兩個或更多個沉積循環,該些沉積循環包括使該基板交替地及依序地與包含矽的第一反應物及不包含氧物種的第二電漿反應物接觸。
  16. 如申請專利範圍第15項所述的方法,其中該第一反應物是3-甲氧基丙基三甲氧基矽烷及該第二電漿反應物是於氬/氫氣體中產生的電漿。
  17. 如申請專利範圍第15項所述的方法,其中該第一介電質表面包含二氧化矽及該第二金屬表面包含鎢。
  18. 一種電漿增強型原子層沉積製程,其用於將碳氧化矽沉積於包含二氧化矽的基板的第一表面及包含鎢的該基板的第二表面上,包括:兩個或更多個沉積循環,該些沉積循環依序包括:使該基板與包含3-甲氧基丙基三甲氧基矽烷的第一反應物接觸;使該基板與沖洗氣體接觸;使該基板與氬/氫電漿接觸;以及使該基板與沖洗氣體接觸。
  19. 如申請專利範圍第18項所述的電漿增強型原子層沉積製程,另外包括在第一個沉積循環之前使該基板與電漿反應物接觸。
  20. 如申請專利範圍第18項所述的電漿增強型原子層沉積製程,其中該碳氧化矽均勻地被沉積於該第一表面及該第二表面上。
TW107143225A 2017-12-04 2018-12-03 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法 TWI761636B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762594474P 2017-12-04 2017-12-04
US62/594,474 2017-12-04

Publications (2)

Publication Number Publication Date
TW201925526A TW201925526A (zh) 2019-07-01
TWI761636B true TWI761636B (zh) 2022-04-21

Family

ID=66659457

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107143225A TWI761636B (zh) 2017-12-04 2018-12-03 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法

Country Status (3)

Country Link
US (1) US10991573B2 (zh)
KR (1) KR20190065962A (zh)
TW (1) TWI761636B (zh)

Families Citing this family (163)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
KR102378021B1 (ko) 2016-05-06 2022-03-23 에이에스엠 아이피 홀딩 비.브이. SiOC 박막의 형성
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10847529B2 (en) 2017-04-13 2020-11-24 Asm Ip Holding B.V. Substrate processing method and device manufactured by the same
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
WO2019103613A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. A storage device for storing wafer cassettes for use with a batch furnace
KR102633318B1 (ko) 2017-11-27 2024-02-05 에이에스엠 아이피 홀딩 비.브이. 청정 소형 구역을 포함한 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292477A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
US11186909B2 (en) * 2019-08-26 2021-11-30 Applied Materials, Inc. Methods of depositing low-K films
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
TW202140833A (zh) * 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
TWI809454B (zh) * 2021-07-19 2023-07-21 南亞科技股份有限公司 製作半導體結構的方法
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200610054A (en) * 2004-08-24 2006-03-16 Applied Materials Inc Low temperature process to produce low-k dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20070072427A1 (en) * 2005-09-29 2007-03-29 Dai Fukushima Method for fabricating semiconductor device and polishing method
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD
US9425097B1 (en) * 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
US20170323782A1 (en) * 2016-05-06 2017-11-09 Asm Ip Holding B.V. FORMATION OF SiOC THIN FILMS

Family Cites Families (260)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI119941B (fi) 1999-10-15 2009-05-15 Asm Int Menetelmä nanolaminaattien valmistamiseksi
US3925337A (en) 1969-07-31 1975-12-09 Air Prod & Chem Post chlorinated vinyl chloride copolymers
US3708728A (en) 1971-06-10 1973-01-02 Int Standard Electric Corp Electrolytic capacitor electrode comprising semiconductor core with film-forming metal coating
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
US4282267A (en) 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
JPS5833841A (ja) 1981-08-24 1983-02-28 Hitachi Ltd 半導体装置
DE3463641D1 (en) 1983-11-11 1987-06-19 Japan Res Dev Corp Boron nitride containing titanium nitride, method of producing the same and composite ceramics produced therefrom
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
GB8516537D0 (en) 1985-06-29 1985-07-31 Standard Telephones Cables Ltd Pulsed plasma apparatus
US5769950A (en) 1985-07-23 1998-06-23 Canon Kabushiki Kaisha Device for forming deposited film
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
US4747367A (en) 1986-06-12 1988-05-31 Crystal Specialties, Inc. Method and apparatus for producing a constant flow, constant pressure chemical vapor deposition
US4767494A (en) 1986-07-04 1988-08-30 Nippon Telegraph & Telephone Corporation Preparation process of compound semiconductor
US5221556A (en) 1987-06-24 1993-06-22 Epsilon Technology, Inc. Gas injectors for reaction chambers in CVD systems
US5166092A (en) 1988-01-28 1992-11-24 Fujitsu Limited Method of growing compound semiconductor epitaxial layer by atomic layer epitaxy
US4851095A (en) 1988-02-08 1989-07-25 Optical Coating Laboratory, Inc. Magnetron sputtering apparatus and process
US4981724A (en) 1988-10-27 1991-01-01 Hochberg Arthur K Deposition of silicon oxide films using alkylsilane liquid sources
JPH0831454B2 (ja) 1989-04-21 1996-03-27 日本電気株式会社 半導体装置の製造方法
US5549937A (en) 1989-10-11 1996-08-27 U.S. Philips Corporation Method of plasma-activated reactive deposition of electrically conducting multicomponent material from a gas phase
JP2822536B2 (ja) 1990-02-14 1998-11-11 住友電気工業株式会社 立方晶窒化ホウ素薄膜の形成方法
US5071670A (en) 1990-06-11 1991-12-10 Kelly Michael A Method for chemical vapor deposition under a single reactor vessel divided into separate reaction chambers each with its own depositing and exhausting means
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5270247A (en) 1991-07-12 1993-12-14 Fujitsu Limited Atomic layer epitaxy of compound semiconductor
US5242530A (en) 1991-08-05 1993-09-07 International Business Machines Corporation Pulsed gas plasma-enhanced chemical vapor deposition of silicon
CA2059818C (en) 1991-08-21 1995-01-03 Gokichi Hatouchi Apparatus for storing articles
DE4242292C2 (de) 1991-12-20 2002-06-27 Ntn Toyo Bearing Co Ltd Selbsttätige hydraulische Spannvorrichtung
JPH05335257A (ja) 1992-06-03 1993-12-17 Showa Shell Sekiyu Kk p型シリコンカーバイドの形成方法
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
JPH0637041A (ja) 1992-07-15 1994-02-10 Hitachi Ltd 配線部材の形成方法
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
JPH0669157A (ja) 1992-08-21 1994-03-11 Sony Corp チタン膜の形成方法
FR2695944B1 (fr) 1992-09-24 1994-11-18 Onera (Off Nat Aerospatiale) Appareil de dépôt chimique en phase vapeur activé par un plasma micro-ondes.
JP3356531B2 (ja) 1994-02-15 2002-12-16 東京エレクトロン株式会社 ボロン含有ポリシリコン膜の形成方法
US6200389B1 (en) 1994-07-18 2001-03-13 Silicon Valley Group Thermal Systems Llc Single body injector and deposition chamber
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
AU4290396A (en) 1994-11-30 1996-06-19 Micron Technology, Inc. A method of depositing tungsten nitride using a source gas comprising silicon
WO1996018756A1 (en) 1994-12-16 1996-06-20 Nkt Research Center A/S A PA-CVD PROCESS FOR DEPOSITION OF A SOLID METAL-CONTAINING FILM ONTO A SUBSTRATE CONTAINING AT LEAST 50 % of Fe or WC
US5964943A (en) 1994-12-27 1999-10-12 Siemens Aktiengesellschaft Method of producing boron-doped monocrystalline silicon carbide
US6006763A (en) 1995-01-11 1999-12-28 Seiko Epson Corporation Surface treatment method
JPH08264530A (ja) 1995-03-20 1996-10-11 Fujitsu Ltd 半導体装置の製造方法及び半導体装置の製造装置
DE19514081A1 (de) 1995-04-13 1996-10-17 Siemens Ag Verfahren zum Herstellen eines elektrischen Kontakts auf einer SiC-Oberfläche
US5744254A (en) 1995-05-24 1998-04-28 Virginia Tech Intellectual Properties, Inc. Composite materials including metallic matrix composite reinforcements
US5595784A (en) 1995-08-01 1997-01-21 Kaim; Robert Titanium nitride and multilayers formed by chemical vapor deposition of titanium halides
JPH0955365A (ja) 1995-08-10 1997-02-25 Hewlett Packard Co <Hp> 半導体基板の表面清浄方法
JPH0987857A (ja) 1995-09-27 1997-03-31 Res Dev Corp Of Japan プラズマcvdによる炭化物コーティング方法
TW328971B (en) 1995-10-30 1998-04-01 Dow Corning Method for depositing Si-O containing coatings
KR0155918B1 (ko) 1995-11-03 1998-12-01 김광호 선택적 텅스텐질화박막을 이용한 반도체장치의 캐패시터 형성방법
US6509098B1 (en) 1995-11-17 2003-01-21 Massachusetts Institute Of Technology Poly(ethylene oxide) coated surfaces
US5672054A (en) 1995-12-07 1997-09-30 Carrier Corporation Rotary compressor with reduced lubrication sensitivity
US5946594A (en) 1996-01-02 1999-08-31 Micron Technology, Inc. Chemical vapor deposition of titanium from titanium tetrachloride and hydrocarbon reactants
US5965004A (en) 1996-03-13 1999-10-12 Sterling Pulp Chemicals, Ltd. Chlorine dioxide generation for water treatment
US5789024A (en) 1996-05-15 1998-08-04 New Jersey Institute Of Technology Subnanoscale composite, N2-permselective membrane for the separation of volatile organic compounds
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
FI100758B (fi) 1996-09-11 1998-02-13 Planar Internat Oy Ltd Menetelmä ZnS:Mn-loisteainekerroksen kasvattamiseksi ohutkalvoelektrol uminenssikomponentteja varten
JP3353874B2 (ja) 1996-09-24 2002-12-03 シャープ株式会社 半導体装置及びその製造方法
EP0841690B1 (en) 1996-11-12 2006-03-01 Samsung Electronics Co., Ltd. Tungsten nitride (WNx) layer manufacturing method and metal wiring manufacturing method
TW320752B (en) 1996-11-18 1997-11-21 United Microelectronics Corp Metal gate electrode process
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US6104074A (en) 1997-12-11 2000-08-15 Apa Optics, Inc. Schottky barrier detectors for visible-blind ultraviolet detection
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
KR100287174B1 (ko) 1998-03-17 2001-04-16 윤종용 다원자계산화물 및 질화물의박막제조방법
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6380627B1 (en) 1998-06-26 2002-04-30 The Regents Of The University Of California Low resistance barrier layer for isolating, adhering, and passivating copper metal in semiconductor fabrication
DE19832245A1 (de) 1998-07-17 2000-01-20 Heidelberger Druckmasch Ag Verfahren zum Bedienen von Geräten in der Reproduktionstechnik
JP2960928B1 (ja) 1998-07-24 1999-10-12 スタンレー電気株式会社 車両用信号灯具
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
US6188134B1 (en) 1998-08-20 2001-02-13 The United States Of America As Represented By The Secretary Of The Navy Electronic devices with rubidium barrier film and process for making same
US6727190B2 (en) 1998-09-03 2004-04-27 Micron Technology, Inc. Method of forming fluorine doped boron-phosphorous silicate glass (F-BPSG) insulating materials
ATE408035T1 (de) 1999-02-11 2008-09-15 Hardide Ltd Wolframkarbidbeschichtungen und verfahren zu deren herstellung
DE10080457T1 (de) 1999-02-12 2001-04-26 Gelest Inc CVD-Abscheidung von Wolframnitrid
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
KR20000060438A (ko) 1999-03-16 2000-10-16 이경수 산화알루미늄 막의 형성 방법
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6613383B1 (en) 1999-06-21 2003-09-02 Regents Of The University Of Colorado Atomic layer controlled deposition on particle surfaces
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6576053B1 (en) 1999-10-06 2003-06-10 Samsung Electronics Co., Ltd. Method of forming thin film using atomic layer deposition method
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
WO2001029280A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Deposition of transition metal carbides
WO2001029893A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
JP5173098B2 (ja) 1999-10-15 2013-03-27 エーエスエム インターナショナル エヌ.ヴェー. ダマシン・メタライゼーションのためのコンフォーマルライニング層
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
KR20010075977A (ko) 2000-01-21 2001-08-11 윤덕용 부가 단량체 단일선구물질을 이용한 13 족 질화물 박막의제조방법
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
DE10018156A1 (de) 2000-04-12 2001-10-25 Bosch Gmbh Robert Elektromotor
US6410462B1 (en) 2000-05-12 2002-06-25 Sharp Laboratories Of America, Inc. Method of making low-K carbon doped silicon oxide
KR100775159B1 (ko) 2000-05-15 2007-11-12 에이에스엠 인터내셔널 엔.붸. 집적회로의 생산 공정
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6194310B1 (en) 2000-06-01 2001-02-27 Sharp Laboratories Of America, Inc. Method of forming amorphous conducting diffusion barriers
US6713177B2 (en) 2000-06-21 2004-03-30 Regents Of The University Of Colorado Insulating and functionalizing fine metal-containing particles with conformal ultra-thin films
US20040224504A1 (en) 2000-06-23 2004-11-11 Gadgil Prasad N. Apparatus and method for plasma enhanced monolayer processing
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6583048B2 (en) 2001-01-17 2003-06-24 Air Products And Chemicals, Inc. Organosilicon precursors for interlayer dielectric films with low dielectric constants
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
JP4160732B2 (ja) 2001-03-13 2008-10-08 富士フイルム株式会社 ハロゲン化銀写真乳剤
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
KR100853903B1 (ko) 2001-03-20 2008-08-25 맷슨 테크놀로지, 인크. 비교적 높은 유전율을 갖는 코팅을 기판 상에 증착하는 방법
US6861334B2 (en) 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US7043133B2 (en) 2001-07-12 2006-05-09 Little Optics, Inc. Silicon-oxycarbide high index contrast, low-loss optical waveguides and integrated thermo-optic devices
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20040206008A1 (en) 2001-07-16 2004-10-21 Chien-Min Sung SiCN compositions and methods
JP4666912B2 (ja) 2001-08-06 2011-04-06 エー・エス・エムジニテックコリア株式会社 プラズマで補強した原子層蒸着装置及びこれを利用した薄膜形成方法
AU2002333601A1 (en) 2001-09-14 2003-04-01 Asm America, Inc. Metal nitride deposition by ald using gettering reactant
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
KR20030057938A (ko) 2001-12-29 2003-07-07 주식회사 하이닉스반도체 반도체 소자의 실리콘 질화막 제조방법
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US20040129212A1 (en) 2002-05-20 2004-07-08 Gadgil Pradad N. Apparatus and method for delivery of reactive chemical precursors to the surface to be treated
JP4170669B2 (ja) 2002-05-24 2008-10-22 大日本印刷株式会社 積層体およびその製造方法
KR20030093575A (ko) 2002-06-03 2003-12-11 주식회사 하이닉스반도체 고선택성 질화막을 이용한 캐패시터 제조방법
US7045406B2 (en) 2002-12-03 2006-05-16 Asm International, N.V. Method of forming an electrode with adjusted work function
KR20040060402A (ko) 2002-12-30 2004-07-06 주식회사 하이닉스반도체 반도체소자의 콘택 형성방법
KR20030016346A (ko) 2003-01-29 2003-02-26 윤태식 차량 부재 운행 자동 관리 시스템
US7198820B2 (en) 2003-02-06 2007-04-03 Planar Systems, Inc. Deposition of carbon- and transition metal-containing thin films
KR100511913B1 (ko) 2003-03-06 2005-09-02 주식회사 하이닉스반도체 반도체 소자의 비트라인 형성 방법
KR100494129B1 (ko) 2003-03-06 2005-06-10 주식회사 하이닉스반도체 반도체 소자의 전극 형성방법
JP2004288979A (ja) 2003-03-24 2004-10-14 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法
JP4423914B2 (ja) 2003-05-13 2010-03-03 東京エレクトロン株式会社 処理装置及びその使用方法
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
KR20040100767A (ko) 2003-05-24 2004-12-02 주식회사 하이닉스반도체 저압 실리콘 질화막 형성 방법
US20040238876A1 (en) 2003-05-29 2004-12-02 Sunpil Youn Semiconductor structure having low resistance and method of manufacturing same
WO2004113585A2 (en) 2003-06-18 2004-12-29 Applied Materials, Inc. Atomic layer deposition of barrier materials
KR100543517B1 (ko) 2003-06-23 2006-01-20 주식회사 포스코 수처리 설비의 원격 전력량 계측 시스템
US20050271893A1 (en) 2004-06-04 2005-12-08 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7030430B2 (en) 2003-08-15 2006-04-18 Intel Corporation Transition metal alloys for use as a gate electrode and devices incorporating these alloys
US7074690B1 (en) 2004-03-25 2006-07-11 Novellus Systems, Inc. Selective gap-fill process
US7105430B2 (en) 2004-03-26 2006-09-12 Freescale Semiconductor, Inc. Method for forming a semiconductor device having a notched control electrode and structure thereof
US7115974B2 (en) 2004-04-27 2006-10-03 Taiwan Semiconductor Manfacturing Company, Ltd. Silicon oxycarbide and silicon carbonitride based materials for MOS devices
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
JP2006040936A (ja) 2004-07-22 2006-02-09 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude 絶縁膜の成膜方法および絶縁膜成膜装置
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
KR100714269B1 (ko) 2004-10-14 2007-05-02 삼성전자주식회사 반도체 소자 제조에 사용되는 금속층 형성방법
US7015153B1 (en) 2004-10-20 2006-03-21 Freescale Semiconductor, Inc. Method for forming a layer using a purging gas in a semiconductor process
KR100640550B1 (ko) 2005-01-26 2006-10-31 주식회사 아이피에스 플라즈마 ald 박막증착방법
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7438949B2 (en) 2005-01-27 2008-10-21 Applied Materials, Inc. Ruthenium containing layer deposition method
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US8486845B2 (en) 2005-03-21 2013-07-16 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US20070054046A1 (en) 2005-09-06 2007-03-08 Tokyo Electron Limited Method of forming a tantalum-containing layer from a metalorganic precursor
WO2007041089A2 (en) 2005-09-29 2007-04-12 Praxair Technology, Inc. Organometallic compounds and methods of use thereof
US8993055B2 (en) 2005-10-27 2015-03-31 Asm International N.V. Enhanced thin film deposition
US7407876B2 (en) 2006-03-20 2008-08-05 Tokyo Electron Limited Method of plasma enhanced atomic layer deposition of TaC and TaCN films having good adhesion to copper
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
US8530361B2 (en) 2006-05-23 2013-09-10 Air Products And Chemicals, Inc. Process for producing silicon and oxide films from organoaminosilane precursors
WO2008007770A1 (en) 2006-07-14 2008-01-17 Dai Nippon Printing Co., Ltd. Transparent conducting layer coated film and its use
US7939455B2 (en) 2006-09-29 2011-05-10 Tokyo Electron Limited Method for forming strained silicon nitride films and a device containing such films
US8268409B2 (en) 2006-10-25 2012-09-18 Asm America, Inc. Plasma-enhanced deposition of metal carbide films
US7727864B2 (en) 2006-11-01 2010-06-01 Asm America, Inc. Controlled composition using plasma-enhanced atomic layer deposition
US7611751B2 (en) 2006-11-01 2009-11-03 Asm America, Inc. Vapor deposition of metal carbide films
US7598170B2 (en) 2007-01-26 2009-10-06 Asm America, Inc. Plasma-enhanced ALD of tantalum nitride films
WO2008121478A2 (en) 2007-03-28 2008-10-09 Dow Corning Corporation Roll-to-roll plasma enhanced chemical vapor deposition method of barrier layers comprising silicon and carbon
US8080483B2 (en) 2007-04-05 2011-12-20 Purdue Research Foundation Double gyroid structure nanoporous films and nanowire networks
US7713874B2 (en) 2007-05-02 2010-05-11 Asm America, Inc. Periodic plasma annealing in an ALD-type process
US7585762B2 (en) 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7794798B2 (en) 2007-09-29 2010-09-14 Tel Epion Inc. Method for depositing films using gas cluster ion beam processing
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US20090315093A1 (en) 2008-04-16 2009-12-24 Asm America, Inc. Atomic layer deposition of metal carbide films using aluminum hydrocarbon compounds
JP5364342B2 (ja) 2008-11-10 2013-12-11 株式会社東芝 不揮発性半導体記憶装置、及びその製造方法
US20100148903A1 (en) 2008-12-12 2010-06-17 General Electric Company Electrical energy transformation apparatus
JP5083200B2 (ja) 2008-12-25 2012-11-28 東洋製罐株式会社 蒸着膜を備えたプラスチック成形品
US8703624B2 (en) 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US8465812B2 (en) 2009-03-23 2013-06-18 The Boeing Company Durable transparent intelligent coatings for polymeric transparencies
KR101183831B1 (ko) 2009-05-25 2012-09-18 (주)석경에이티 단분산의 복합 실리카 미세입자의 제조방법
FR2949111B1 (fr) 2009-08-13 2013-03-22 Essilor Int Procede de fabrication d'un substrat revetu d'un film antistatique mesoporeux et son application en optique ophtalmique
WO2011049816A2 (en) 2009-10-20 2011-04-28 Asm International N.V. Processes for passivating dielectric films
US20110159202A1 (en) 2009-12-29 2011-06-30 Asm Japan K.K. Method for Sealing Pores at Surface of Dielectric Layer by UV Light-Assisted CVD
KR20120003677A (ko) 2010-07-05 2012-01-11 삼성전자주식회사 반도체 장치 및 그의 형성 방법
JP5856162B2 (ja) 2010-07-26 2016-02-09 ウオーターズ・テクノロジーズ・コーポレイシヨン 粒度分布の狭い実質的に非多孔質のハイブリッドコアを含む表面多孔質材料
KR101778287B1 (ko) 2010-08-30 2017-09-14 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
KR101787041B1 (ko) 2010-11-17 2017-10-18 삼성전자주식회사 식각방지막이 구비된 반도체 소자 및 그 제조방법
US20120208347A1 (en) 2011-02-11 2012-08-16 Samsung Electronics Co., Ltd. Three-dimensional semiconductor memory devices and methods of fabricating the same
CN103597550B (zh) 2011-04-28 2017-06-30 富士胶片株式会社 导电性构件、导电性构件的制造方法、组成物、触摸屏及太阳电池
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
WO2013016594A2 (en) 2011-07-26 2013-01-31 Virginia Commonwealth University Abhesive coatings
WO2013043501A1 (en) 2011-09-23 2013-03-28 Applied Materials, Inc. Metal-aluminum alloy films from metal amidinate precursors and aluminum precursors
TWI541377B (zh) 2011-11-04 2016-07-11 Asm國際股份有限公司 形成摻雜二氧化矽薄膜的方法
DE102011117859A1 (de) 2011-11-08 2013-05-08 Fachhochschule Köln Prüfkörper und Verfahren zur Überprüfung der Übertragungseigenschaften von Volumentomographen
FR2982608B1 (fr) 2011-11-16 2013-11-22 Saint Gobain Couche barriere aux metaux alcalins a base de sioc
JP5694129B2 (ja) 2011-11-29 2015-04-01 株式会社東芝 半導体装置及びその製造方法
US9200167B2 (en) 2012-01-27 2015-12-01 Air Products And Chemicals, Inc. Alkoxyaminosilane compounds and applications thereof
US9111746B2 (en) 2012-03-22 2015-08-18 Tokyo Electron Limited Method for reducing damage to low-k gate spacer during etching
US10787591B2 (en) 2012-04-30 2020-09-29 The Boeing Company Composites including silicon-oxy-carbide layers and methods of making the same
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
TWI506734B (zh) 2012-07-13 2015-11-01 Macronix Int Co Ltd 嵌鑲字元線
JP2014022657A (ja) 2012-07-20 2014-02-03 Fujifilm Corp エッチング方法、これを用いた半導体基板製品および半導体素子の製造方法、ならびにエッチング液調製用キット
TWI579322B (zh) 2012-07-25 2017-04-21 國立交通大學 多孔性材料之製備方法
US9243324B2 (en) 2012-07-30 2016-01-26 Air Products And Chemicals, Inc. Methods of forming non-oxygen containing silicon-based films
NL2009306C2 (en) 2012-08-09 2014-02-11 Stichting Energie Membranes for dewatering acid mixtures.
JP6415808B2 (ja) 2012-12-13 2018-10-31 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
US9824881B2 (en) 2013-03-14 2017-11-21 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
JP5998101B2 (ja) 2013-05-24 2016-09-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置及びプログラム
US10453675B2 (en) 2013-09-20 2019-10-22 Versum Materials Us, Llc Organoaminosilane precursors and methods for depositing films comprising same
JP6246558B2 (ja) 2013-10-29 2017-12-13 東京エレクトロン株式会社 シリコン酸炭窒化物膜、シリコン酸炭化物膜、シリコン酸窒化物膜の成膜方法および成膜装置
JP6129756B2 (ja) 2014-01-24 2017-05-17 株式会社東芝 半導体装置及びその製造方法
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US9171736B2 (en) 2014-03-03 2015-10-27 Tokyo Electron Limited Spacer material modification to improve K-value and etch properties
US20150252477A1 (en) 2014-03-06 2015-09-10 Applied Materials, Inc. In-situ carbon and oxide doping of atomic layer deposition silicon nitride films
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
US10643925B2 (en) 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US9418889B2 (en) 2014-06-30 2016-08-16 Lam Research Corporation Selective formation of dielectric barriers for metal interconnects in semiconductor devices
US9401309B2 (en) 2014-08-26 2016-07-26 Sandisk Technologies Llc Multiheight contact via structures for a multilevel interconnect structure
US9478414B2 (en) 2014-09-26 2016-10-25 Asm Ip Holding B.V. Method for hydrophobization of surface of silicon-containing film by ALD
US10354860B2 (en) 2015-01-29 2019-07-16 Versum Materials Us, Llc Method and precursors for manufacturing 3D devices
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
KR102307059B1 (ko) 2015-05-13 2021-10-05 삼성전자주식회사 반도체 장치
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US10283348B2 (en) 2016-01-20 2019-05-07 Versum Materials Us, Llc High temperature atomic layer deposition of silicon-containing films
US10699897B2 (en) 2016-01-24 2020-06-30 Applied Materials, Inc. Acetylide-based silicon precursors and their use as ALD/CVD precursors
WO2017184701A1 (en) 2016-04-21 2017-10-26 Applied Materials, Inc. Doped and undoped vanadium oxides for low-k spacer applications
KR102600997B1 (ko) 2016-06-02 2023-11-14 삼성전자주식회사 메모리 장치
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
EP3620461B1 (en) 2016-07-05 2022-04-20 Samsung Electronics Co., Ltd. Organometallic compound, organic light-emitting device including the same, and diagnostic composition including the organometallic compound
KR20180046964A (ko) 2016-10-28 2018-05-10 삼성전자주식회사 반도체 메모리 소자
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
CN110546302B (zh) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 用于受控形成含氧薄膜的等离子体增强沉积方法
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200610054A (en) * 2004-08-24 2006-03-16 Applied Materials Inc Low temperature process to produce low-k dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US20070072427A1 (en) * 2005-09-29 2007-03-29 Dai Fukushima Method for fabricating semiconductor device and polishing method
US20130084714A1 (en) * 2011-09-30 2013-04-04 Asm Japan K.K. Method for Forming Single-Phase Multi-Element Film by PEALD
US9425097B1 (en) * 2015-04-29 2016-08-23 Globalfoundries Inc. Cut first alternative for 2D self-aligned via
US20170323782A1 (en) * 2016-05-06 2017-11-09 Asm Ip Holding B.V. FORMATION OF SiOC THIN FILMS

Also Published As

Publication number Publication date
KR20190065962A (ko) 2019-06-12
US10991573B2 (en) 2021-04-27
TW201925526A (zh) 2019-07-01
US20190172701A1 (en) 2019-06-06

Similar Documents

Publication Publication Date Title
TWI761636B (zh) 電漿增強型原子層沉積製程及沉積碳氧化矽薄膜的方法
JP7224335B2 (ja) モリブデンを含有する低抵抗膜
TWI708858B (zh) 含鋁與氮之物質的選擇性沈積
JP5376361B2 (ja) タングステン膜の製造方法および装置
US8101521B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US7645484B2 (en) Method of forming a metal carbide or metal carbonitride film having improved adhesion
US7959985B2 (en) Method of integrating PEALD Ta-containing films into Cu metallization
TW201947054A (zh) 用於在圖案化基材的表面上選擇性形成材料的製程
TW201900918A (zh) 電漿增強型原子層沉積製程和通過其來選擇性地於基板的介電表面上沉積氧化物的方法
JP2017092475A (ja) SiOCN薄膜の形成
TW201709269A (zh) 改善硬遮罩膜及氧化矽膜之間的黏著的電漿處理
KR20150072377A (ko) 매우 낮은 저항률의 텅스텐을 증착하는 방법
JP2001291682A (ja) 化学気相堆積により形成された窒化チタン膜のプラズマ処理
US20230025937A1 (en) Oxygen Free Deposition Of Platinum Group Metal Films
US20120237693A1 (en) In-situ clean process for metal deposition chambers
TWI805644B (zh) 針對pecvd金屬摻雜碳硬遮罩之均質介面的沉積系統和方法
CN110622283A (zh) 减少或消除钨膜中缺陷的方法
CN115003854A (zh) 金属膜的沉积
US20050118810A1 (en) Method of cleaning surface of semiconductor substrate, method of manufacturing thin film, method of manufacturing semiconductor device, and semiconductor device
JP2024511271A (ja) 原子層堆積のための還元剤
US9269584B2 (en) N-metal film deposition with initiation layer
KR102597990B1 (ko) 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법
US10366879B2 (en) Dry and wet etch resistance for atomic layer deposited TiO2 for SIT spacer application
TW202403076A (zh) 有機材料之選擇性沉積
JP2018188681A (ja) 原子層堆積法による炭化チタン含有薄膜の製造方法