JP2001291682A - 化学気相堆積により形成された窒化チタン膜のプラズマ処理 - Google Patents

化学気相堆積により形成された窒化チタン膜のプラズマ処理

Info

Publication number
JP2001291682A
JP2001291682A JP2001025878A JP2001025878A JP2001291682A JP 2001291682 A JP2001291682 A JP 2001291682A JP 2001025878 A JP2001025878 A JP 2001025878A JP 2001025878 A JP2001025878 A JP 2001025878A JP 2001291682 A JP2001291682 A JP 2001291682A
Authority
JP
Japan
Prior art keywords
titanium nitride
ticl
nitride layer
hydrogen
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Withdrawn
Application number
JP2001025878A
Other languages
English (en)
Inventor
Shulin Wang
ワン シュリン
Ming Xi
キ ミン
Zvi Lando
ランドー ズヴィ
Mei Chang
チャン メイ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2001291682A publication Critical patent/JP2001291682A/ja
Withdrawn legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/75Electrodes comprising two or more layers, e.g. comprising a barrier layer and a metal layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Semiconductor Memories (AREA)

Abstract

(57)【要約】 (修正有) 【課題】 低い抵抗率を有する厚い窒化チタン膜を形成
する方法を提供する。 【解決手段】 アンモニア(NH3)と4塩化チタン
(TiCl4)の間の熱的な化学気相堆積反応を使用し
て、摂氏約600度未満の温度と、約5を超えるNH3
対TiCl4比において、窒化チタン膜204が形成さ
れる。堆積されたTiN膜204は、次に、水素分子
(H2)から生成したような水素含有プラズマ210で
処理される。これは、低い抵抗率と良好なステップ・カ
バレッジを有する厚い窒化チタン膜をもたらす。堆積及
びプラズマ処理ステップは、プラグ充填あるいはキャパ
シタ構造アプリケーションに使用するのに適切な所望の
厚さの厚い複合窒化チタン膜を形成するために、追加の
サイクルに対して繰り返すことができる。

Description

【発明の詳細な説明】
【0001】
【関連出願の記載】本出願は、1999年6月11日に
出願された「厚い窒化チタン膜を堆積する方法」と題す
る同一譲受人の米国特許出願、出願番号第09/33
0,696号の一部継続出願であり、この米国特許出願
番号第09/330,696号は参考文献として本明細
書に包含される。
【0002】
【開示の背景】1.本発明の技術分野 本発明は窒化チタン膜堆積の方法に関し、特に低い抵抗
率を有する、厚く、低い応力の窒化チタン膜を形成する
方法に関する。
【0003】2.背景技術の説明 集積回路の製造において、障壁層の下の基礎の領域への
金属の拡散を抑制するための金属障壁層として、窒化チ
タン膜はしばしば使用される。これらの基礎の領域は、
トランジスタ・ゲート、キャパシタ誘電体、半導体基
板、金属配線、及び集積回路に使われる多くの他の構造
を含んでいる。
【0004】チタン/窒化チタンの組み合わせ(Ti/
TiN)は、多くの場合拡散障壁として使用され、トラ
ンジスタのソース及びドレーンにコンタクトを提供す
る。タングステン(W)プラグ・プロセスを使用してコ
ンタクトを形成する場合、たとえば、チタン層がシリコ
ン(Si)基板の上に堆積され、続いてチタン層は、シ
リコンに対して抵抗の低いコンタクトをもたらすケイ化
チタン(TiSix)に変換される。次に、タングステ
ン・プラグを形成する前に、TiN層がTiSix層の
上に形成される。あるいは、いくつかの応用例において
は、タングステン・プラグの代わりにTiNプラグを形
成することも可能である。
【0005】Ti及びTiN膜は、物理気相堆積あるい
は化学気相堆積により形成することができる。Ti/T
iN組み合わせ層は、1つのチャンバでチタン膜を堆積
し続いて他のチャンバでTiN膜を堆積することによ
り、複数チャンバの「クラスタ・ツール」内で形成する
こともできる。化学気相堆積(CVD)を使用してTi
及びTiNの両方を堆積する場合、異なる反応性ガスに
反応することが許容される場合には、すなわち、プラズ
マ状態の下では、Ti及びTiN膜の両方を形成するた
めに、たとえば、4塩化チタン(TiCl4)を使用す
ることができ、TiCl4がH2と反応すればチタンが形
成され、TiCl4が窒素と反応すればTiNが形成さ
れる。一般に、特定の窒素を含有する化合物に応じてプ
ラズマあるいは熱的な状態の下で、TiCl4を窒素を
含有する化合物と反応させることにより、TiNは形成
することができる。したがって、TiCl4とアンモニ
ア(NH3)の間の反応を使用する高温CVDにより、
TiN膜を形成することができる。しかし、ある種の従
来技術によるプロセスを使用して堆積された厚いTiN
膜は、特に膜の厚さが約400オングストロームを超え
ると、亀裂を生ずる傾向がある。膜厚の増加と共に、亀
裂の密度及び寸法は増加し、最終的には膜が剥離する。
【0006】さらに、TiN膜がTiCl4を基剤とす
る化学プロセスにより堆積される場合、塩素(Cl)が
TiN層に混入する。塩素含量は膜抵抗率の増加に関連
し、接触抵抗の望ましくない増加をもたらす。TiNプ
ラグへの適用に対しては、多くの場合1000オングス
トローム以上のTiN膜厚が必要であり、膜抵抗率の減
少がさらに重要である。
【0007】堆積温度を増加することにより堆積された
TiN膜の塩素含量は減少するが、堆積温度を低下させ
ることによるステップ・カバレッジの改善が望まれる。
さらに、プロセス・インテグレーションのためには比較
的低い堆積温度が有利である。たとえば、キャパシタ構
造内の上部電極に対する障壁層として、五酸化タンタル
(Ta25)を誘電体として、TiNは使用することが
できる。しかし、たとえばTiCl4とNH3の間の反応
を使用するTiNの熱CVDは、多くの場合摂氏約65
0度で行われる。このような高温は、キャパシタ構造内
の望ましくない原子の相互拡散を起こす恐れがある。
【0008】したがって、良好なステップ・カバレッジ
と低い抵抗率を含む改善された特性を有し、厚く亀裂の
ないTiN膜をもたらすために、低い温度でTiNを堆
積する方法が当該技術分野において必要である。
【0009】
【本発明の概要】本発明は、約10から約50トルの圧
力と、摂氏約600度未満の温度において、アンモニア
(NH3)と4塩化チタン(TiCl4)の間の反応を使
用し、続いて水素含有プラズマでTiN層を処理するこ
とにより、窒化チタン(TiN)層を形成する方法であ
る。
【0010】本発明の一実施例において、TiN層は、
約20トルの圧力と摂氏約550度と摂氏約600度の
間の温度で、約8.5のNH3対TiCl4流量比におい
て形成され、続いて、H2が存在する状態で、その場で
プラズマ処理される。これらのプロセス条件の下で、少
なくとも250オングストロームのTiN層を形成する
ことができる。追加のサイクルのためにTiN堆積とプ
ラズマ処理を繰り返すことにより、プラグ充填アプリケ
ーションのための所望の厚さの複合TiN層を形成する
ことができる。本発明による方法は、キャパシタ構造の
上部電極の一部としてTiN層を形成するためにも使用
することができる。TiN層の処理は、高周波(RF)
ローカル・プラズマあるいは遠隔プラズマを使用して行
うことができる。
【0011】別の実施例においては、異なる堆積条件を
使用して別のTiN層を形成することが可能である。た
とえば、第一のTiN層に使用されたNH3対TiCl4
流量比とは異なるNH3対TiCl4流量比を使用するこ
とにより、第一のTiN層とは異なるステップ・カバレ
ッジ及び/または応力特性を有する第二のTiN層を形
成することが可能である。異なるプロセス条件を使用し
て、第一のTiN層と第二のTiN層の堆積及び処理を
交互にすることにより、改良された総合的なステップ・
カバレッジと応力特性を有する最終的な所望の厚さの複
合TiN層を実現することができる。
【0012】添付図面と連係して下記の詳細な説明を考
察することにより、本発明の教示は容易に理解できる。
【0013】理解を容易にするために、可能な場合に
は、各図に共通の同一の要素を示すために同一の参照数
字が使用されている。
【0014】
【詳細説明】本発明は、低い抵抗率と良好なステップ・
カバレッジを有する厚い窒化チタン(異なる化学量論の
膜を包含するためにTiNが総称して使用される)膜を
形成する方法を提供する。厚いTiN膜は、たとえば、
0.18ミクロン以下の技術におけるプラグ応用に使用
することができる。本発明の実施例は、低い堆積温度に
おけるNH3とTiCl4の間の反応を使用して、低い抵
抗率を有する比較的厚いTiN膜の形成を可能にする。
【0015】1つの実施例においては、約2.5から約
17の範囲、通常5を超え、より望ましくは約8.5の
NH3対TiCl4流量比及び、摂氏約600度未満の、
あるいは望ましくは摂氏約580度のプロセス温度で、
TiN層は堆積される。次に、最高約300オングスト
ロームの厚さを有する堆積されたTiN層は、水素含有
プラズマに露出される。プラズマ処理されたTiN層は
塩素含量が低いので、堆積されたままの (as-deposite
d) TiN層と比較して低い抵抗率を有する。
【0016】あるいはTiN層は2ステップの手順で堆
積されてもよく、この場合、たとえば約20オングスト
ローム未満の比較的薄いTiN層が、第一のNH3対T
iCl4流量比を使用して堆積され、続いて第二のNH3
対TiCl4流量比を使用して第二のTiN層が堆積さ
れる。結果として生ずるTiN層は、次に水素含有プラ
ズマで処理され、低い抵抗率を有する厚いTiN層を生
ずる。
【0017】特定の用途に応じて、複合TiN層を作る
ために、膜堆積及びプラズマ処理ステップは追加のサイ
クルを繰り返すことができる。たとえば、1000オン
グストロームを超える厚さの厚いTiN層が得られる。
複合TiN層は、約200マイクロオーム・センチメー
トル未満の抵抗率を通常有し、0.18ミクロン以下の
幾何学的配列のプラグ充填応用に良く適している。
【0018】装置10 図1は、本発明の実施例を実施するために使用できるウ
ェーハ処理装置10の概念図である。装置10は、電源
及び真空ポンプのような他のハードウェア構成要素に加
えて、プロセス・チャンバ100、ガスパネル130、
制御ユニット110を有する。プロセス・チャンバ10
0の1つの例はTiNチャンバであり、TiNチャンバ
は、1998年12月14日出願の「高温CVDチャン
バ」と題する同一譲受人の米国特許出願、出願番号第0
9/211,998号で既に説明されており、参考文献
として本明細書に包含される。装置10のいくつかの基
本的な特徴を以下に簡単に説明する。
【0019】チャンバ100 プロセス・チャンバ100は、プロセス・チャンバ10
0の中の半導体ウェーハ190のような基板を支持する
ために使用されるサポート・ペデスタル150を通常有
する。このペデスタル150は、移動機構(図示せず)
を使用してチャンバ100の内部で垂直方向に移動でき
る。特定のプロセスに応じて、ウェーハ基板190は処
理に先立って一定の所望の温度に加熱される必要があ
る。本発明においては、ウェーハ・サポート・ペデスタ
ル150は、埋め込まれたヒータ170により加熱され
ている。たとえば、交流電源106から加熱素子170
に電流を印加することにより、ペデスタル150を抵抗
加熱することができる。そして次に、ウェーハ190は
ペデスタル150により加熱され、たとえば、摂氏40
0−750度のプロセス温度範囲内に維持することがで
きる。ペデスタル150の温度を従来の方法でモニタす
るために、熱電対のような温度センサ172が、ウェー
ハ・サポート・ペデスタル150の中にさらに埋め込ま
れている。たとえば、ウェーハ温度を維持できるよう
に、あるいは特定のプロセス応用に適する所望の温度に
制御できるように、加熱素子170用の電源106を制
御するのに、測定温度をフィードバック・ループで使用
することができる。
【0020】ガスパネル130を介するガスの流れの適
切な制御及び調整が、マスフロー・コントローラ(図示
せず)及びコンピュータのようなコントローラ・ユニッ
ト110により行われる。シャワーヘッド120は、ガ
スパネル130からのプロセスガスが、チャンバ100
に均一に分配され、導入されることを可能にする。例と
して、制御ユニット110は、中央処理装置(CPU)
112、サポート回路114及び関連する制御ソフトウ
ェア116を収容するメモリを有する。この制御ユニッ
ト110は、ウェーハ搬送、ガス流量制御、温度制御、
チャンバ排気、等のようなウェーハ処理のために必要と
される多数のステップの自動化された制御を行う役割を
もっている。制御ユニット110と装置10のさまざま
な構成要素の間の双方向通信は、総合して信号バス11
8と呼ばれる多数の信号ケーブルを介して処理される。
信号バス118の一部を図1に示す。
【0021】プロセス・チャンバ100を排気し、チャ
ンバ100の内部の適切なガスの流れと圧力を維持する
ために、真空ポンプ102が使用される。プロセスガス
がチャンバ100に導入されるシャワーヘッド120
は、ウェーハ・サポート・ペデスタル150の上に配置
されている。本発明に使用される「デュアル・ガス」シ
ャワーヘッド120は、2つの別々な経路を有し、2つ
のガスを予め混合せずに別々にチャンバ100に導入す
ることを可能にする。シャワーヘッド120の詳細は、
1998年6月16日出願の「半導体ウェーハ処理装置
におけるシャワーヘッド用のデュアル・ガス・フェイス
プレート」と題する同一譲受人の米国特許出願、出願番
号第09/098,969号に開示されており、参考文
献として本明細書に包含される。このシャワーヘッド1
20は、マスフロー・コントローラ(図示せず)を介し
てプロセス・シーケンスの異なるステップで使用される
様々なガスを制御・供給するガス・パネル130に、接
続されている。ウェーハ処理の間に、ペデスタル150
の上に望ましくない堆積が形成されることを最小限度に
抑えるために、パージガス・サプライ104がパージガ
ス、たとえば、不活性ガスをペデスタル150の底部の
周りにさらに供給する。
【0022】TiN膜堆積 特に0.18ミクロン以下の小さい幾何学的配列に関連
したプラグ充填応用に対しては、理想的なTiN膜は低
い応力及び良好なステップ・カバレッジを有する必要が
ある。最適な望ましい特性を膜に与えるためには、堆積
プロセス条件の適切な調節が要求される。たとえば、N
3とTiCl4の間の反応を使用するTiNの堆積で
は、さまざまなステップ・カバレッジ及び応力特性をT
iN膜に与えるために、NH3対TiCl4比を調整する
ことができる。
【0023】代表的なTiN堆積プロセスにおいては、
約5の代表的なNH3対TiCl4比で、摂氏650度以
上のペデスタル温度が多くの場合使用される。これは、
200オングストロームの厚さの膜に対して、約2×1
10ダイン毎平方センチメートルの引っ張り応力を有す
るTiN膜をもたらす。厚さ約400オングストローム
のこれらの膜では、亀裂が現れ始める。一般に、堆積温
度を下げることにより、及び/またはNH3対TiCl4
比を増加することにより、TiN膜の応力を減少させる
ことができる。しかし、増加したNH3対TiCl4
は、さらにステップ・カバレッジの減少を招く。
【0024】「厚い窒化チタン膜を堆積する方法」と題
する、1999年6月11日出願の同一譲受人の出願、
出願番号第09/330,696号は、NH3とTiC
4の間の反応を使用して厚く亀裂の無いTiN層を形
成する方法を開示しており、参考文献として本明細書に
包含される。
【0025】本発明は、良好なステップ・カバレッジと
低い抵抗率を有し厚くて低応力のTiN層を形成する他
の実施形態を提供する。特に、本方法は次の特徴:1)
摂氏約600度未満の比較的低い堆積温度と;2)少な
くとも約5、望ましくは約8.5のNH3対TiCl4
と;3)堆積膜のH2プラズマ処理と、を有する。
【0026】2つの別のTiN膜堆積レシピを表1に示
す。
【0027】
【表1】
【0028】図2a−cは、集積回路製造順序の異なる
段階における基板200の断面図を概略的に示す。一般
に、基板200とはその上に膜処理が行われる任意の被
加工物を指し、基板構造250は一般に基板200の上
に形成された他の材料層を有する基板200を示すため
に使用される。処理の特定の段階によって、基板200
は、シリコン半導体ウェーハ、あるいはウェーハの上に
形成された他の材料層に対応することもある。たとえ
ば、図2aは、基板200の上に慣用の方法で形成さ
れ、パターンを作られた(たとえば、リソグラフィー及
びエッチングにより)材料層202を有する基板構造2
50の断面図を示す。材料層202は、基板200の上
部表面200Tに延びているコンタクト・ホール202
Hを形成するためにパターンを作られた酸化物(たとえ
ば、SiO2)であってもよい。一般に、基板200は
シリコン、ケイ化物、あるいは他の材料の層でもよい。
図2aは基板200がシリコンである1つの実施形態を
示し、シリサイド層201、特に、たとえば、チタン・
シリサイド(TiSix)がコンタクト・ホール202
Hの底部202Bに形成されている。TiSix層20
1は、たとえば、シリコン基板200及びパターンを形
成された酸化物202の上にチタンを(たとえば、物理
気相堆積あるいはCVDにより)堆積し、その後にTi
Six層201を形成する高温アニールにより形成する
ことができる。未反応のチタン層203は、酸化層20
2(非等角のチタン堆積のために、コンタクト・ホール
202Hの側面202Sにはチタンは形成されない)の
上部202Tの上に残る。図2bは、図2aの基板構造
250の上に堆積されたTiN層204を示す。
【0029】本発明の一実施形態において、NH3とT
iCl4の間の反応を使用し表1のプロセス(a)のレ
シピによって、図1のチャンバ100に類似のCVDチ
ャンバ内で、TiN膜204は形成される。基板構造2
50を有するウェーハ190がウェーハ・サポート・ペ
デスタル150の上にロードされた後に、他のガスに加
えてNH3及びTiCl4がTiN膜堆積のためにチャン
バ100に導入される。ヘリウム(He)及び窒素(N
2)に加えて、デュアル・ガス・シャワーヘッド120
の1つのガス配管(図示せず)を介してTiCl4が導
入される。TiCl4は、室温において液体であり、た
とえば液体射出装置(図示せず)を使用してガス配管に
送出される。一般に、次のガス・フロー・レンジを使用
することができる−TiCl4:約3sccmから約2
5sccm(液体流量から較正された)、He:約50
0sccmから約2500sccm、N2:約500s
ccmから約2500sccm。He及びN2は通常
「希釈」ガスと呼ばれている。He及びN2の使用は単
に説明のみのためであり、アルゴン(Ar)及び水素
(H2)のような他のガスも希釈ガスとして使用するこ
とが可能である。デュアル・ガス・シャワーヘッド12
0の第二のガス配管を介して、約1000sccmから
約5000sccmのレンジ内のN2のような希釈ガス
に加えて、約30sccmから約200sccmのフロ
ー・レンジ内のNH3がチャンバ100に導入される。
どのガス配管内の希釈ガスも、単一のガス、あるいは異
なる組み合わせ、または割合の1つ以上のガス、すなわ
ちガス混合物、を含むことができる。希釈ガスの正確な
割合あるいは組み合わせは本発明の実施に重要ではない
が、第一及び第二のガス配管内のそれぞれのガスの流れ
の間で、一定の「バランス」が維持されていることが望
ましい。2つのガス配管内でほぼ等しいガスの流れを保
つことにより、「逆流」問題の可能性を回避することが
できる。
【0030】TiN堆積は、第一のガス配管内の約10
0sccmのNH3の流れ及び約2000sccmのN2
の流れ、ならびに、第二のガス配管内の約12sccm
のTiCl4の流れ、約1000sccmのN2の流れ、
及び約1000sccmのHeの流れで行われることが
より望ましい。一般に、約5を超えるNH3対TiCl4
流量比が使用されるが、約8.5が望ましい。約5トル
を超える、たとえば、約10から約50トルの範囲の全
圧力、望ましくは約20トルの全圧力を使用することが
できる。また、ペデスタル温度は、摂氏約400−60
0度、たとえば、摂氏500−600度、より望ましく
は摂氏約550−600度の間に維持される。特定のプ
ロセス条件によって、基板温度はペデスタル温度より摂
氏約30−40度低くてもよい。さらに、チャンバ10
0の底部に設けられた別のガス配管及びパージガス・サ
プライ104を介して、約2000sccmまたは、よ
り一般的には約1000sccmから約5000scc
mの間の底部不活性ガスのパージ流れも(たとえば、A
rあるいは他の不活性ガス)設定される。ウェーハ・サ
ポート・ペデスタル150の背面への不要な堆積の蓄積
を最小限度に抑える他に、パージガスの流れは堆積の均
一性にも影響を与える可能性がある。
【0031】これらのプロセス条件の下で、約1×10
10ダイン毎平方センチメートル未満の膜応力を有する亀
裂のないTiN層204は、ほとんど垂直の側壁と約7
のアスペクト比を有するコンタクト・ホールの上に95
%以上のステップ・カバレッジで形成することができ
る。(アスペクト比は、この説明図ではコンタクト・ホ
ール202Hの幅wに対する深さdの比率により定めら
れる。)この堆積プロセスのみを使用して、適切な平坦
化技術たとえばブランケット・エッチバックあるいは化
学機械研磨により、コンタクト・ホール202H内のT
iNプラグ(図示せず)の中に、TiN層204を形成
することができる。摂氏約600度以下の堆積温度を使
用して、ステップ・カバレッジは、たとえば7対1以上
の高アスペクト比を有するコンタクトに対して改良する
ことが可能である。さらに高いアスペクト比を有するフ
ィーチャーに対しては、NH3対TiCl4流量比を減少
することにより、ステップ・カバレッジをさらに改善す
ることが必要な可能性もある。
【0032】亀裂のないTiN膜の形成は、単に膜応力
の作用ではないことに注目するべきである。代わりに、
堆積が起きるNH3対TiCl4比も重要な役割を演ず
る。たとえば、同じ膜応力を有する膜は、異なるNH3
対TiCl4比を使用して堆積されれば、異なる「亀
裂」性質を示すかもしれない。これは、堆積の間の異な
る表面反応及び堆積膜の配向に帰することができる。
【0033】堆積温度とNH3対TiCl4比によって、
堆積されたままのTiN膜は、約5%と高い塩素含量を
有することがある。本発明によれば、図2cに示すよう
に、TiN層204はプラズマ処理ステップを受ける。
特に、たとえば、最高約300オングストロームの厚さ
を有するTiN層204は、チャンバ100のシャワー
ヘッド120に高周波電力を印加することにより、水素
含有プラズマ210に露出される。水素含有プラズマ2
10は、分子性のH2ガスから生成されることが望まし
いが、任意選択で窒素(N2)を含有してもよい。水素
含有プラズマ210を生成するのに、他の水素を含有す
る有機あるいは無機の前駆物質、たとえば特にアンモニ
アガス(NH3)、メタン(CH4)を使用することもで
きる。TiN層204をプラズマに露出することは、原
子の水素(たとえば、中性原子及びイオンを共に含んで
も良い)とTiN層204内の塩素の化学種の間に相互
作用をもたらすと確信される。さらに、膜処理はイオン
衝撃よりも化学的相互作用に依存すると確信される。し
たがって、本発明によれば、基板の付近で生成される局
部的なプラズマに加えて、遠隔のプラズマ環境の下でも
膜処理を実行することができる。
【0034】図5は、図2cのTiN層204を有する
ウェーハ190を処理するために、チャンバ100に接
続された遠隔プラズマ源501を概略的に示す。たとえ
ば、遠隔プラズマは、プラズマ源ガス(たとえば、アル
ゴンのような不活性ガスに加えて、水素を含有するガ
ス)のマイクロ波あるいは高周波励起により生成するこ
とができる。ガス流れコントローラ505は、ガス供給
源503から遠隔プラズマ源501へのプラズマ源ガス
の供給を制御する。遠隔プラズマ源501で生成された
ラジカル種、たとえば原子の水素は、吸気口507から
チャンバ100に導入される。化学的相互作用は、プラ
ズマからのラジカルとTiN層204内の塩素の化学種
の間に生じ、TiN層204の処理をもたらす。
【0035】表2は、H2プラズマ処理の代表的なプロ
セス条件‐約500−5000sccmの範囲または望
ましくは約2000sccmのH2流量、約0.5−1
0トルまたは望ましくは約5トルの圧力範囲、約600
−900ワットの範囲または望ましくは約600ワット
のRF電力‐を示す。あるいは、例えばN2流量が約5
00−5000sccmの範囲で、H2/N2プラズマも
使用することができる。N2/H2流量比は約0−2の範
囲、望ましくは約1に通常維持され、300オングスト
ロームの厚さのTiN膜に対して通常40秒の処理時間
が使用される。薄いTiN膜に対しては、より短い処理
時間で十分である可能性がある。オプションとして、A
rあるいはヘリウム(He)のような他の不活性ガスを
処理プラズマにさらに加えることもできる。遠隔のプラ
ズマを使用する処理に対しては、有効な処理のためにT
iN層204に到達するのに十分な量の原子の水素を供
給するよう、プロセス条件(たとえば、プラズマ電力、
ガス流量、圧力、等)を調整可能である。このような処
理に必要とされるラジカル種の量は、当業者による実験
によって見出すことができる。
【0036】
【表2】
【0037】H2から生成されたプラズマのような水素
含有プラズマを使用するプラズマ処理は、NH3による
熱アニールと比較して、TiN膜の塩素含量を減少する
のにより効果がある。たとえば、摂氏約580度の温度
において、TiN膜は、H2/N2プラズマ処理の後の約
1.5%と比較して、NH3熱アニールの後は約3%の
塩素濃度を有する。通常H2/N2プラズマで処理された
TiN膜の抵抗率、または、より一般的には水素及び窒
素を含有するプラズマで処理されたTiN膜の抵抗率
は、摂氏約580度において、約200−230マイク
ロオーム・センチメートル未満である。1つの実施形態
において、たとえば、水素を含むプラズマで処理された
TiN膜は、NH3熱アニール後の約320マイクロオ
ーム・センチメートルに対して、約180マイクロオー
ム・センチメートルの抵抗率を有する。
【0038】NH3を使用する熱アニールと比較して、
より有利なエージング効果も、水素含有プラズマで処理
されたTiN膜に対して観察される。たとえば、H2
ラズマで処理されたTiN膜は24時間後に4%未満の
面積抵抗の増加を示すが、NH3の熱アニールにより処
理された同じ厚さの他のTiN膜は約40%の増加を示
す。
【0039】いくつかの実施例において、プラズマ処理
の後に、TiN膜の化学量論あるいはTi:N比率は変
化せず、膜の著しい密度増加がないことが観察される。
しかし、比較的低い温度で堆積されたTiN膜は非晶質
あるいは微結晶となる傾向があるので、プラズマ処理は
TiNの粒径を増大させ、あるいは結晶方位を少し変化
させる効果を有する可能性がある。
【0040】本発明の他の態様においては、さまざまな
望ましい膜特性を実現するために、膜の堆積とプラズマ
処理ステップは、異なる順序の組み合わせで実行され
る。1つの特定の製造順序は、たとえば、2つのプロセ
ス・ステップで異なる堆積条件を使用する2ステップの
手順を使用する複合TiN層の形成を含む。このプロセ
ス・シーケンスを図3a−dに示す。図3aは、図2c
に示すものと類似の基板構造350の上への第一のTi
N層304の堆積を示す。TiN層304は、比較的低
応力の膜であることが望ましく、さらに比較的薄い、た
とえば約20−25オングストローム未満、ことが望ま
しい。たとえば、この層304を摂氏約600度未満の
温度で堆積するために、表1に示すプロセス(b)のレ
シピを使用することができる。約20を超えるNH3
TiCl4流量比、望ましくは40、より望ましくは8
5のNH3対TiCl4流量比が、比較的低い応力を有す
る第一の層を作るために、このステップで使用される。
プロセス・シーケンスの第二のステップにおいて、図3
bに示すように、第二のTiN層306が第一の層30
4の上に堆積される。第二のTiN層306は、良好な
ステップ・カバレッジに有利に働くプロセス条件で、た
とえば、表1のプロセスレシピ(a)に示すように、約
5を超え、より望ましくは約8.5のNH3対TiCl4
流量比で、堆積されることが望ましい。この第二のTi
N層306は、最高約300オングストロームの厚さに
堆積することができ、また層304よりも高い膜応力を
有することができる。図3cは、H2から生成されたよ
うな水素含有プラズマ310に露出されている堆積され
たTiN層306を示す。このプラズマ処理は、プラズ
マ処理された、あるいはプラズマにより変化した層30
6mを有する図3dに示す複合TiN層314を生じ、
図3cの堆積されたままの層306及び基礎のTiN層
304と比較して、層306mは塩素含量が減少してい
る。特定のプラズマ条件及び第二のTiN層306の厚
さによって、基礎層304はプラズマにより処理されて
もよく、あるいは処理されなくてもよい。たとえば、層
306の厚さが約250オングストローム未満であれ
ば、層304はプラズマ310により部分的に処理され
るであろう。約250オングストロームより厚い層30
6に対しては、層304は恐らく処理されないであろ
う。しかし、第一のTiN層304は第二のTiN層3
06より塩素含量が低いので、(層304は高いNH3
対TiCl4比条件で堆積される)層304がプラズマ
処理されるか否かは複合層314の抵抗率に大幅な影響
を与えないであろう。本発明の実施例によるプラズマ処
理の後に、顕著な膜密度の増加は無く、複合層の厚さt
cは堆積されたままのTiN層304及び306の厚さ
の和とほぼ等しい。所望の厚さの複合TiN層を形成す
るために、膜堆積及びプラズマ処理の追加のサイクルを
必要に応じて行うことができる。本発明によれば、たと
えば、亀裂の無い、厚さ1000オングストローム以上
のTiN層314を、プラグ充填アプリケーションに使
用するために作製することができる。
【0041】一般に、所望の厚さの複合TiN層は、膜
の堆積とプラズマ処理のいくつかのサイクルの繰り返し
によって、TiN構成層の集合から形成することができ
る。個々のTiN構成層に対する堆積及びプラズマ処理
条件の最適化は、改良されたステップ・カバレッジと膜
応力の減少の組み合わせた利点を有する複合TiN層を
もたらすであろう。
【0042】プラグ充填アプリケーションのほかに、本
プロセスにより形成されたTiN層は、誘電体として五
酸化タンタル(Ta25)を有するキャパシタ構造の上
部電極用の障壁層としても使用することができる。図4
は、このようなキャパシタ構造の断面図を示す。
【0043】底部電極400は、シリコン、たとえば、
多結晶シリコンで構成することができる。Ta25を有
する誘電層404の形成より前に、シリコン窒化物のよ
うな障壁層402を使用することも可能である。通常、
液体供給源、たとえば、タンタル−ペンタエトキシ(T
AETO)あるいはタンタル−テトラエトキシジメチル
アミン(TATDMAE)を使用して、摂氏約450度
未満の温度で、Ta25をCVDプロセスにより形成す
ることが可能である。次にTiN障壁層406が、さま
ざまな材料層を経由しての望ましくない相互拡散を避け
るために、望ましくは低いプロセス温度で、Ta25
電層404の上に形成される。これは、たとえば本発明
のプロセスにより実現することができる。TiN障壁層
406は、表1に示すレシピを使用して堆積することが
でき、続いてH2から生成されたプラズマで処理され
る。多結晶シリコンあるいは他の適切な導電材料で構成
することができる上部電極層408が、プラズマ処理さ
れたTiN障壁層406の上に次に形成され、その結果
として図4のキャパシタ構造が作られる。
【0044】本発明は厚い膜の形成(たとえば、プラグ
充填)を必要とするアプリケーションに特に良く適合し
ているが、本発明はライナー/障壁、キャパシタ、等を
含む集積回路製造のさまざまな段階で遭遇する多くの他
の基板構造に一般に適用できる。
【0045】本発明の教示を取り入れた数件の推奨実施
例を示し詳細に説明したが、これらの教示をさらに取り
入れた多くの他の多様な実施例を当業者は容易に工夫す
ることができる。
【図面の簡単な説明】
【図1】本発明を実施するために使用することができる
装置の略図である。
【図2】aは、本発明の1つの態様によって形成される
窒化チタン層を有する基板構造の概略断面図を示す。b
は、本発明の1つの態様によって形成される窒化チタン
層を有する基板構造の概略断面図を示す。cは、本発明
の1つの態様によって形成される窒化チタン層を有する
基板構造の概略断面図を示す。
【図3】aは、本発明の他の実施例にしたがって複合窒
化チタン層が形成される集積回路製造の異なる段階にお
ける基板構造の概略断面図を示す。bは、本発明の他の
実施例にしたがって複合窒化チタン層が形成される集積
回路製造の異なる段階における基板構造の概略断面図を
示す。cは、本発明の他の実施例にしたがって複合窒化
チタン層が形成される集積回路製造の異なる段階におけ
る基板構造の概略断面図を示す。dは、本発明の他の実
施例にしたがって複合窒化チタン層が形成される集積回
路製造の異なる段階における基板構造の概略断面図を示
す。
【図4】本発明によって形成される窒化チタン障壁層を
有するキャパシタ構造の断面図を示す。
【図5】本発明を実施するために使用することができる
図1の装置の別の実施例の略図を示す。
【符号の説明】
10 ウェーハ処理装置 100 プロセス・チャンバ 104 パージガス・サプライ 106 交流電源 110 制御ユニット 118 信号バス 120 シャワーヘッド 130 ガスパネル 150 サポート・ペデスタル 170 ヒータ
───────────────────────────────────────────────────── フロントページの続き (51)Int.Cl.7 識別記号 FI テーマコート゛(参考) H01L 21/768 H01L 21/90 C 27/04 27/04 C 21/822 27/10 621Z 27/108 21/8242 (72)発明者 シュリン ワン アメリカ合衆国, カリフォルニア州, キャンベル, スタインウェイ アヴェニ ュー 959 (72)発明者 ミン キ アメリカ合衆国, カリフォルニア州, ミルピタス, ビーンメン ウェイ 138 (72)発明者 ズヴィ ランドー アメリカ合衆国, カリフォルニア州, パロ アルト, ヴェンテュトー アヴェ ニュー 275 (72)発明者 メイ チャン アメリカ合衆国, カリフォルニア州, サラトガ, コート デ アーグロ 12881

Claims (25)

    【特許請求の範囲】
  1. 【請求項1】(a)約10から約50トルの圧力範囲
    と、摂氏約600度未満の温度において、アンモニア
    (NH3)と4塩化チタン(TiCl4)間の熱的な反応
    を使用して、プロセス・チャンバ内の基板の上に窒化チ
    タン層を形成するステップと; (b)前記ステップ(a)に続いて、前記基板を前記プ
    ロセス・チャンバから移動せずに、水素含有プラズマで
    前記窒化チタン層を処理するステップと、を含む、窒化
    チタン層堆積方法。
  2. 【請求項2】 前記ステップ(b)は、約0.5から約
    10トルの圧力範囲と、摂氏約500度から摂氏約60
    0度の間の温度で行われる、請求項1記載の方法。
  3. 【請求項3】 前記ステップ(a)は、約5を超えるN
    3対TiCl4流量比で行われる、請求項1記載の方
    法。
  4. 【請求項4】 前記ステップ(a)で形成される前記第
    一の窒化チタン層は、約300オングストローム未満の
    厚さを有する、請求項1記載の方法。
  5. 【請求項5】 前記ステップ(b)の前記水素含有プラ
    ズマは、水素分子から生成される、請求項1記載の方
    法。
  6. 【請求項6】 前記ステップ(b)の前記水素含有プラ
    ズマは、水素分子と窒素分子の混合体から生成される、
    請求項1記載の方法。
  7. 【請求項7】 前記窒化チタン層は、前記ステップ
    (b)の後に、約200マイクロオーム・センチメート
    ル未満の膜抵抗率を有する、請求項1記載の方法。
  8. 【請求項8】 さらに、 (c)少なくとも1000オングストロームの厚さと、
    約200マイクロオーム・センチメートル未満の抵抗率
    を有する複合窒化チタン層が形成されるように、前記ス
    テップ(a)と(b)を繰り返すステップを含む、請求
    項1記載の方法。
  9. 【請求項9】 前記水素含有プラズマは、高周波プラズ
    マである、請求項1記載の方法。
  10. 【請求項10】 前記水素含有プラズマは、遠隔プラズ
    マである、請求項1記載の方法。
  11. 【請求項11】(a)約20を超える第一のNH3対T
    iCl4流量比と、約10から約50トルの圧力範囲
    と、摂氏約600度未満の温度において、アンモニア
    (NH3)と4塩化チタン(TiCl4)間の熱的な反応
    を使用して、プロセス・チャンバ内の基板の上に第一の
    窒化チタン層を形成するステップと; (b)前記ステップ(a)で使用した前記第一のNH3
    対TiCl4流量比とは異なる第二のNH3対TiCl4
    流量比で、NH3とTiCl4間の熱的な反応を使用し
    て、ステップ(a)の前記第一の窒化チタン層の上に第
    二の窒化チタン層を形成するステップと; (c)前記ステップ(b)に続いて、前記プロセス・チ
    ャンバから前記基板を移動せずに、水素含有プラズマに
    前記第二の窒化チタン層を露出するステップと、を含
    む、窒化チタン層堆積方法。
  12. 【請求項12】 前記第一の窒化チタン層は約20オン
    グストローム未満の厚さを有し、前記第二の窒化チタン
    層は約300オングストローム未満の厚さを有し、前記
    第二のNH3対TiCl4流量比は約5を超える、請求項
    11記載の方法。
  13. 【請求項13】 約1000オングストロームを超える
    厚さの複合窒化チタン層が形成されるように、前記ステ
    ップ(a)、(b)及び(c)は、追加のサイクルを繰
    り返す、請求項11記載の方法。
  14. 【請求項14】 前記水素含有プラズマは高周波プラズ
    マである、請求項11記載の方法。
  15. 【請求項15】 前記水素含有プラズマは遠隔プラズマ
    である、請求項11記載の方法。
  16. 【請求項16】(a)基板上に第一の電極を形成するス
    テップと; (b)前記第一の金属電極上に絶縁層を形成するステッ
    プと; (c)約10から約50トルの圧力範囲と、摂氏約60
    0度未満の温度において、アンモニア(NH3)と4塩
    化チタン(TiCl4)間の熱的な反応を使用して、前
    記絶縁層の上に窒化チタン層を形成するステップと; (d)ステップ(c)で形成された前記窒化チタン層を
    水素含有プラズマに露出するステップと; (e)ステップ(d)の後に、前記窒化チタン層上に第
    二の電極を形成するステップと、を含む、キャパシタ構
    造形成方法。
  17. 【請求項17】 ステップ(b)の前記絶縁層は五酸化
    タンタル(Ta25)を含む、請求項16記載の方法。
  18. 【請求項18】 ステップ(c)の前記水素含有プラズ
    マは、水素分子から生成される、請求項16記載の方
    法。
  19. 【請求項19】 前記ステップ(c)は、約5を超える
    NH3対TiCl4流量比で行われる、請求項16記載の
    方法。
  20. 【請求項20】 前記第一の電極はシリコンを含む、請
    求項16記載の方法。
  21. 【請求項21】 前記第二の電極はシリコンを含む、請
    求項16記載の方法。
  22. 【請求項22】 実行される場合には、膜の堆積方法を
    使用して、汎用コンピュータに堆積チャンバを制御させ
    るソフトウェア・ルーチンを含むコンピュータ記憶媒体
    であって、前記膜堆積方法は: (a)約10から約50トルの圧力範囲と、摂氏約60
    0度未満の温度において、アンモニア(NH3)と4塩
    化チタン(TiCl4)間の熱的な反応を使用して、プ
    ロセス・チャンバ内の基板上に窒化チタン層を形成する
    ステップと; (b)前記ステップ(a)の後に、前記基板を前記プロ
    セス・チャンバから移動せずに、水素含有プラズマで前
    記窒化チタン層を処理するステップと、を含む、コンピ
    ュータ記憶媒体。
  23. 【請求項23】 前記方法ステップ(b)の前記水素含
    有プラズマは、水素分子から生成される、請求項22記
    載のコンピュータ記憶媒体。
  24. 【請求項24】 前記方法ステップ(a)は、約5を超
    えるNH3対TiCl4流量比で行われる、請求項22記
    載のコンピュータ記憶媒体。
  25. 【請求項25】 実行される場合には、膜の堆積方法を
    使用して、汎用コンピュータに堆積チャンバを制御させ
    るソフトウェア・ルーチンを含むコンピュータ記憶媒体
    であって、前記膜堆積方法は: (a)約20を超える第一のNH3対TiCl4比、約1
    0から約50トルの圧力範囲、及び、摂氏約600度未
    満の温度において、アンモニア(NH3)と4塩化チタ
    ン(TiCl4)間の熱的な反応を使用して、プロセス
    ・チャンバ内の基板上に第一の窒化チタン層を形成する
    ステップと; (b)前記ステップ(a)で使用した前記第一のNH3
    対TiCl4流量比とは異なる第二のNH3対TiCl4
    流量比で、NH3とTiCl4間の熱的な反応を使用し
    て、ステップ(a)の前記TiN層の上に第二の窒化チ
    タン層を形成するステップと; (c)前記ステップ(b)の後に、前記プロセス・チャ
    ンバから前記基板を移動せずに、水素含有プラズマに前
    記第二の窒化チタン層を露出するステップと、を含む、
    コンピュータ記憶媒体。
JP2001025878A 2000-02-01 2001-02-01 化学気相堆積により形成された窒化チタン膜のプラズマ処理 Withdrawn JP2001291682A (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/495555 2000-02-01
US09/495,555 US6555183B2 (en) 1999-06-11 2000-02-01 Plasma treatment of a titanium nitride film formed by chemical vapor deposition

Publications (1)

Publication Number Publication Date
JP2001291682A true JP2001291682A (ja) 2001-10-19

Family

ID=23969078

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2001025878A Withdrawn JP2001291682A (ja) 2000-02-01 2001-02-01 化学気相堆積により形成された窒化チタン膜のプラズマ処理

Country Status (5)

Country Link
US (1) US6555183B2 (ja)
EP (1) EP1122774A1 (ja)
JP (1) JP2001291682A (ja)
KR (1) KR20010078215A (ja)
TW (1) TW514987B (ja)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2005064041A1 (ja) * 2003-12-26 2005-07-14 Tokyo Electron Limited 窒化チタン膜の成膜
JP2007158222A (ja) * 2005-12-08 2007-06-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7540603B2 (en) 2001-11-21 2009-06-02 Seiko Epson Corporation Printed body, element provided on printed body, printer, and computer system
JP2011017081A (ja) * 2009-06-10 2011-01-27 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
JP2020015980A (ja) * 2018-07-26 2020-01-30 東京エレクトロン株式会社 (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法

Families Citing this family (338)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6699530B2 (en) * 1995-07-06 2004-03-02 Applied Materials, Inc. Method for constructing a film on a semiconductor wafer
US7515264B2 (en) * 1999-06-15 2009-04-07 Tokyo Electron Limited Particle-measuring system and particle-measuring method
US6576529B1 (en) * 1999-12-07 2003-06-10 Agere Systems Inc. Method of forming an alignment feature in or on a multilayered semiconductor structure
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7067416B2 (en) * 2001-08-29 2006-06-27 Micron Technology, Inc. Method of forming a conductive contact
JP4178776B2 (ja) * 2001-09-03 2008-11-12 東京エレクトロン株式会社 成膜方法
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
DE10208714B4 (de) * 2002-02-28 2006-08-31 Infineon Technologies Ag Herstellungsverfahren für einen Kontakt für eine integrierte Schaltung
US6750146B2 (en) * 2002-04-03 2004-06-15 United Microelectronics Corp. Method for forming barrier layer
JP3961399B2 (ja) * 2002-10-30 2007-08-22 富士通株式会社 半導体装置の製造方法
US7172792B2 (en) * 2002-12-20 2007-02-06 Applied Materials, Inc. Method for forming a high quality low temperature silicon nitride film
US7972663B2 (en) * 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) * 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
JP4583764B2 (ja) * 2004-01-14 2010-11-17 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
KR100629172B1 (ko) * 2004-11-08 2006-09-27 삼성전자주식회사 막 형성 장치
KR100636037B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100636036B1 (ko) * 2004-11-19 2006-10-18 삼성전자주식회사 티타늄 질화막 형성 방법 및 이를 수행하기 위한 장치
KR100693890B1 (ko) * 2005-04-21 2007-03-12 삼성전자주식회사 반응 장벽막을 갖는 반도체 장치의 제조 방법
JP4947922B2 (ja) * 2005-05-23 2012-06-06 東京エレクトロン株式会社 成膜方法およびコンピュータにより読み取り可能な記憶媒体
US7473637B2 (en) * 2005-07-20 2009-01-06 Micron Technology, Inc. ALD formed titanium nitride films
US7387962B2 (en) 2005-10-17 2008-06-17 Samsung Electronics Co., Ltd Physical vapor deposition methods for forming hydrogen-stuffed trench liners for copper-based metallization
US7976897B2 (en) * 2007-02-21 2011-07-12 Micron Technology, Inc Thermal chemical vapor deposition methods, and thermal chemical vapor deposition systems
US20080207006A1 (en) * 2007-02-28 2008-08-28 James Scott Martin Process for fabricating an integrated circuit
JP4636133B2 (ja) * 2008-07-22 2011-02-23 東京エレクトロン株式会社 窒化チタン膜の改質方法及び改質装置
US8328585B2 (en) 2008-08-07 2012-12-11 Texas Instruments Incorporated Modulated deposition process for stress control in thick TiN films
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5285519B2 (ja) * 2009-07-01 2013-09-11 パナソニック株式会社 半導体装置及びその製造方法
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9136180B2 (en) 2011-06-01 2015-09-15 Asm Ip Holding B.V. Process for depositing electrode with high effective work function
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
JP5872904B2 (ja) * 2012-01-05 2016-03-01 東京エレクトロン株式会社 TiN膜の成膜方法および記憶媒体
US9177826B2 (en) 2012-02-02 2015-11-03 Globalfoundries Inc. Methods of forming metal nitride materials
US8900665B2 (en) * 2012-08-27 2014-12-02 Globalfoundries Inc. Method of forming hardmask layer with alternating nanolayers
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) * 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9190290B2 (en) * 2014-03-31 2015-11-17 Applied Materials, Inc. Halogen-free gas-phase silicon etch
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9768171B2 (en) * 2015-12-16 2017-09-19 International Business Machines Corporation Method to form dual tin layers as pFET work metal stack
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
KR102613349B1 (ko) 2016-08-25 2023-12-14 에이에스엠 아이피 홀딩 비.브이. 배기 장치 및 이를 이용한 기판 가공 장치와 박막 제조 방법
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
TWI779134B (zh) 2017-11-27 2022-10-01 荷蘭商Asm智慧財產控股私人有限公司 用於儲存晶圓匣的儲存裝置及批爐總成
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
KR102657269B1 (ko) 2018-02-14 2024-04-16 에이에스엠 아이피 홀딩 비.브이. 주기적 증착 공정에 의해 기판 상에 루테늄-함유 막을 증착하는 방법
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US12025484B2 (en) 2018-05-08 2024-07-02 Asm Ip Holding B.V. Thin film forming method
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
TWI819010B (zh) 2018-06-27 2023-10-21 荷蘭商Asm Ip私人控股有限公司 用於形成含金屬材料及包含含金屬材料的膜及結構之循環沉積方法
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
TWI751420B (zh) 2018-06-29 2022-01-01 荷蘭商Asm知識產權私人控股有限公司 薄膜沉積方法
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP7504584B2 (ja) 2018-12-14 2024-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP7509548B2 (ja) 2019-02-20 2024-07-02 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
WO2020176462A1 (en) * 2019-02-25 2020-09-03 Corning Incorporated Multi-showerhead chemical vapor deposition reactor, process and products
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP7499079B2 (ja) 2019-07-09 2024-06-13 エーエスエム・アイピー・ホールディング・ベー・フェー 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TWI839544B (zh) 2019-07-19 2024-04-21 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20220051192A (ko) * 2019-08-13 2022-04-26 어플라이드 머티어리얼스, 인코포레이티드 다이렉트 마이크로파 플라즈마를 이용한 peald 티타늄 나이트라이드
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
KR20210042810A (ko) 2019-10-08 2021-04-20 에이에스엠 아이피 홀딩 비.브이. 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법
CN112635282A (zh) 2019-10-08 2021-04-09 Asm Ip私人控股有限公司 具有连接板的基板处理装置、基板处理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
US12009241B2 (en) 2019-10-14 2024-06-11 Asm Ip Holding B.V. Vertical batch furnace assembly with detector to detect cassette
TWI834919B (zh) 2019-10-16 2024-03-11 荷蘭商Asm Ip私人控股有限公司 氧化矽之拓撲選擇性膜形成之方法
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
TW202140135A (zh) 2020-01-06 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氣體供應總成以及閥板總成
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR102675856B1 (ko) 2020-01-20 2024-06-17 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11566324B2 (en) * 2020-02-27 2023-01-31 Applied Materials, Inc. Conditioning treatment for ALD productivity
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220006455A (ko) 2020-07-08 2022-01-17 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
JP2023538031A (ja) * 2020-08-20 2023-09-06 アプライド マテリアルズ インコーポレイテッド 窒化チタン膜の処理方法
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
US12009224B2 (en) 2020-09-29 2024-06-11 Asm Ip Holding B.V. Apparatus and method for etching metal nitrides
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235649A (zh) 2020-11-24 2022-09-16 荷蘭商Asm Ip私人控股有限公司 填充間隙之方法與相關之系統及裝置
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS63229814A (ja) 1987-03-19 1988-09-26 Nec Corp 半導体集積回路の製造方法
JP2776826B2 (ja) 1988-04-15 1998-07-16 株式会社日立製作所 半導体装置およびその製造方法
KR100228259B1 (ko) * 1990-10-24 1999-11-01 고지마 마따오 박막의 형성방법 및 반도체장치
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5378501A (en) * 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5420072A (en) * 1994-02-04 1995-05-30 Motorola, Inc. Method for forming a conductive interconnect in an integrated circuit
AU1745695A (en) 1994-06-03 1996-01-04 Materials Research Corporation A method of nitridization of titanium thin films
US5975912A (en) * 1994-06-03 1999-11-02 Materials Research Corporation Low temperature plasma-enhanced formation of integrated circuits
US5665640A (en) * 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
EP0711846A1 (en) 1994-11-14 1996-05-15 Applied Materials, Inc. Titanium nitride deposited by chemical vapor deposition
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3569023B2 (ja) * 1995-04-06 2004-09-22 川崎マイクロエレクトロニクス株式会社 半導体装置の製造方法
US5567483A (en) * 1995-06-05 1996-10-22 Sony Corporation Process for plasma enhanced anneal of titanium nitride
JP3105788B2 (ja) * 1996-07-15 2000-11-06 日本電気株式会社 半導体装置の製造方法
US5970378A (en) 1996-09-03 1999-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-step plasma treatment process for forming low resistance titanium nitride layer
EP0854505A3 (en) * 1997-01-21 1998-11-11 Texas Instruments Incorporated Process of depositing a TiN based film during the fabrication of a semiconductor device
JP3027946B2 (ja) 1997-01-24 2000-04-04 日本電気株式会社 半導体装置およびその製造方法
US6200844B1 (en) * 1999-02-12 2001-03-13 United Microelectronics Corp. Method of manufacturing dielectric film of capacitor in dynamic random access memory

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7540603B2 (en) 2001-11-21 2009-06-02 Seiko Epson Corporation Printed body, element provided on printed body, printer, and computer system
WO2005064041A1 (ja) * 2003-12-26 2005-07-14 Tokyo Electron Limited 窒化チタン膜の成膜
JP2005194540A (ja) * 2003-12-26 2005-07-21 Tokyo Electron Ltd 成膜方法及び半導体装置
KR100885834B1 (ko) * 2003-12-26 2009-02-26 도쿄엘렉트론가부시키가이샤 질화티타늄막의 성막
JP4545433B2 (ja) * 2003-12-26 2010-09-15 東京エレクトロン株式会社 成膜方法
JP2007158222A (ja) * 2005-12-08 2007-06-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
JP2011017081A (ja) * 2009-06-10 2011-01-27 Hitachi Kokusai Electric Inc 基板処理装置及び半導体装置の製造方法
US8178445B2 (en) 2009-06-10 2012-05-15 Hitachi Kokusai Electric Inc. Substrate processing apparatus and manufacturing method of semiconductor device using plasma generation
JP2020015980A (ja) * 2018-07-26 2020-01-30 東京エレクトロン株式会社 (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法
KR20200012804A (ko) * 2018-07-26 2020-02-05 도쿄엘렉트론가부시키가이샤 (200) 결정질 조직을 갖는 질화티탄막의 형성 방법
JP7330793B2 (ja) 2018-07-26 2023-08-22 東京エレクトロン株式会社 (200)結晶学的集合組織を有する窒化チタン膜を形成するための方法
KR102610585B1 (ko) * 2018-07-26 2023-12-05 도쿄엘렉트론가부시키가이샤 (200) 결정질 조직을 갖는 질화티탄막의 형성 방법

Also Published As

Publication number Publication date
US6555183B2 (en) 2003-04-29
TW514987B (en) 2002-12-21
EP1122774A1 (en) 2001-08-08
KR20010078215A (ko) 2001-08-20
US20020155219A1 (en) 2002-10-24

Similar Documents

Publication Publication Date Title
JP2001291682A (ja) 化学気相堆積により形成された窒化チタン膜のプラズマ処理
KR100696143B1 (ko) 기판위에 두꺼운 질화 티타늄층을 성막하는 방법
US6218301B1 (en) Deposition of tungsten films from W(CO)6
US20030072884A1 (en) Method of titanium and titanium nitride layer deposition
US7838441B2 (en) Deposition and densification process for titanium nitride barrier layers
US20020114886A1 (en) Method of tisin deposition using a chemical vapor deposition process
US7655567B1 (en) Methods for improving uniformity and resistivity of thin tungsten films
US6436819B1 (en) Nitrogen treatment of a metal nitride/metal stack
US6326690B2 (en) Method of titanium/titanium nitride integration
US6399490B1 (en) Highly conformal titanium nitride deposition process for high aspect ratio structures
US6933021B2 (en) Method of TiSiN deposition using a chemical vapor deposition (CVD) process
US20100151676A1 (en) Densification process for titanium nitride layer for submicron applications
US6455421B1 (en) Plasma treatment of tantalum nitride compound films formed by chemical vapor deposition
JPH10144628A (ja) 薄膜の改良堆積法
US6177305B1 (en) Fabrication of metal-insulator-metal capacitive structures
US6436820B1 (en) Method for the CVD deposition of a low residual halogen content multi-layered titanium nitride film having a combined thickness greater than 1000 Å
US20020192396A1 (en) Method of titanium/titanium nitride integration
KR100395171B1 (ko) 화학적증착법에의한티타늄막형성방법
JPH06283453A (ja) 半導体装置製造方法
KR100447031B1 (ko) 텅스텐 실리사이드막의 형성방법
KR100504269B1 (ko) WNx 증착을 위한 개선된 PECVD 및 CVD 공정
KR20010007527A (ko) 반도체 장치내에 실리사이드를 형성하는 방법 및 이를이용한 프로세서 판독가능 저장매체
KR20060032919A (ko) 플라즈마를 사용하여 반도체 소자의 오오믹층 및 장벽금속막을 형성하는 화학기상증착 방법
JP2000294517A (ja) 半導体装置の製造方法
JPH10163128A (ja) タングステン窒化膜の製造方法及びこれを用いた金属配線製造方法

Legal Events

Date Code Title Description
A300 Application deemed to be withdrawn because no request for examination was validly filed

Free format text: JAPANESE INTERMEDIATE CODE: A300

Effective date: 20080401