JP5285519B2 - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP5285519B2
JP5285519B2 JP2009156952A JP2009156952A JP5285519B2 JP 5285519 B2 JP5285519 B2 JP 5285519B2 JP 2009156952 A JP2009156952 A JP 2009156952A JP 2009156952 A JP2009156952 A JP 2009156952A JP 5285519 B2 JP5285519 B2 JP 5285519B2
Authority
JP
Japan
Prior art keywords
tin
insulating film
gate insulating
film
gate electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2009156952A
Other languages
English (en)
Other versions
JP2011014689A (ja
JP2011014689A5 (ja
Inventor
純 鈴木
博 中川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2009156952A priority Critical patent/JP5285519B2/ja
Priority to PCT/JP2010/001001 priority patent/WO2011001558A1/ja
Publication of JP2011014689A publication Critical patent/JP2011014689A/ja
Publication of JP2011014689A5 publication Critical patent/JP2011014689A5/ja
Priority to US13/313,607 priority patent/US8836039B2/en
Application granted granted Critical
Publication of JP5285519B2 publication Critical patent/JP5285519B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/518Insulating materials associated therewith the insulating material containing nitrogen, e.g. nitride, oxynitride, nitrogen-doped material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Description

本発明は、半導体装置に関し、詳細には、高誘電体ゲート絶縁膜を有したゲート電極構造の改良を目的とした半導体装置及びその製造方法に関する。
半導体装置の高速化・高集積化に伴い、トランジスタ形状の微細化が進められている。
半導体装置のうち、相補型MOS(CMOS、Complementary Metal Oxide Semiconductor)デバイスでは、NMOS(n-channel MOS)とPMOS(p-channel MOS)との二種類のトランジスタが用いられ、NMOSトランジスタは電子の移動によって、PMOSトランジスタは正孔の移動によって、それぞれ電流のオン・オフを制御する。
従来、CMOSデバイスに用いられるゲート絶縁膜は一般的にシリコン酸化膜により形成され、誘電率は3.9程度を示す。しかし、トランジスタの微細化に伴ってゲート絶縁膜が薄膜化されると、リーク電流が増大し、消費電力・待機電力の高いデバイスとなってしまう。そこで、4.0以上の誘電率を有するゲート絶縁膜を用いることにより、実際の膜厚はシリコン酸化膜より厚くても、実効的な膜厚(EOT)を薄くできるHigh-k(高誘電体)ゲート絶縁膜の開発が進んでいる。
しかしながら、従来のポリシリコンゲート電極とHigh-kゲート電極とを組み合わせただけでは、ゲート電極の空乏化と呼ばれる現象が生じる。これは、High-kゲート絶縁膜とポリシリコンゲート電極との間に空乏層容量が形成され、EOTが薄いというHigh-kゲート絶縁膜の利点が失われる現象である。ゲート電極の空乏化を防ぐためには、ポリシリコンゲート電極に代えて金属ゲート電極を組み合わることが必須である。更に、High-kゲート絶縁膜/金属ゲート電極による適正な閾値電圧(Vt)の制御がCMOSデバイスを構築する上で重要になっている。
従来のシリコン酸化膜ゲート絶縁膜/ポリシリコンゲート電極の場合、ポリシリコン中にホウ素、リン等の不純物をイオン注入し、熱処理によって活性化することで、ポリシリコンの仕事関数を向上することができる。例えば、ノンドープ状態の仕事関数は4.65eVであるのに対し、ホウ素をイオン注入することにより、5.15eVまで向上することができる。これを利用してNMOS及びPMOSのVtを制御することが可能であった。
しかし、High-kゲート絶縁膜を用いる場合、High-kゲート絶縁膜中に存在する高密度のトラップにより、フェルミレベルが固定されてしまうフェルミレベルピニング現象が起きる。このため、イオン注入によるドーピングレベルでは仕事関数を変化させることができず、閾値電圧の制御は不可能であった。更に、金属ゲート電極とポリシリコンゲート電極とを組み合わせたMIPS(Metal-Inserted-Poly-Si Stack)と呼ばれる構造においても、イオン注入による仕事関数の調整は難しく、ゲート電極に用いられる金属の仕事関数がVt制御に対して支配的になる。
このようなHigh-kゲート絶縁膜と金属ゲート電極との組み合わせにおける仕事関数の研究においては、チタン、タングステン、タンタル、モリブデンの窒化物が用いられている。特に、DRAM電極材料として、従来から用いられてきたチタンやタングステンの窒化物が、ドライエッチング、ウェットエッチング等の加工特性を考える上で、金属ゲート電極材料としては扱いやすい。
特開2007−110091号公報 特開2008−305950号公報
チタン窒化膜(TiN)の仕事関数は、膜厚に大きく依存する。このため、大きな仕事関数が必要なpチャネルゲート電極はTiN膜厚を厚く、小さな仕事関数が必要なnチャネルではTiN膜厚を薄く形成することにより、仕事関数を変化させることが可能である。
しかし、膜厚15nm付近において仕事関数が飽和するため、PMOS側に要求される4.8eV以上の高い仕事関数を安定に実現することが難しい。よって、この解決が課題となっている。
また、High-kメタルゲート構造を採用した場合、High-kゲート絶縁膜中の欠陥によって、又は、TiNメタルゲート電極膜が持つ大きな内部応力によって誘起されうるHigh-kゲート絶縁膜とSi基板と間の界面準位の悪化により、NBTI(Negative Bias Temparature Instability、負バイアス温度不安定性)を悪化させることが懸念される。この点の解決も課題となっている
以上に鑑み、本発明の目的は、TiN等のメタルゲート電極を用い、PMOS側の仕事関数を高くする(例えば4.8eV以上とする)と共に、NBTI等の信頼性が改善したHigh-kゲート絶縁膜を有する半導体素子を提供することにある。
前記の目的を達成するため、本発明の半導体装置は、基板上に形成された高誘電体ゲート絶縁膜と、高誘電体ゲート絶縁膜上に形成されたメタルゲート電極とを備え、メタルゲート電極には、結晶状態部位とアモルファス状態部位とが存在し、アモルファス状態部位にハロゲン元素が偏析している。
このような半導体装置によると、メタルゲート電極にハロゲン元素が偏析していることにより、ハロゲン元素を含まない場合に比べて大きな仕事関数を実現することができる。また、ハロゲン元素は、メタルゲート電極が有する結晶状態部位とアモルファス状態部位のうちのアモルファス状態部位に存在している。ハロゲン元素が偏析したメタルゲート電極は、このように実現される。
尚、ハロゲン元素は、塩素及びフッ素のうちの少なくとも一つであることが好ましい。塩素及びフッ素は窒素よりも電気陰性度が高いため、仕事関数をより確実に高めることができる。更に、フッ素を用いる場合、NBTIを改善することができる。
また、ハロゲン元素は、1×1019atoms/cm3 以上偏析していることが好ましい。このような濃度にハロゲン元素が偏析していると、仕事関数がより確実に向上する。
また、ハロゲン元素は、厚さ5nm以下の範囲に偏析していることが好ましい。メタルゲート電極においてハロゲン元素の偏析した層が厚くなると、抵抗値が増大してしまう。よって、これを避けることが好ましく、特に、厚さ5nm以下とするのが良い。
また、高誘電体ゲート絶縁膜は、ハフニウムシリケート又はハフニウム酸化膜からなることが好ましい。
また、高誘電体ゲート絶縁膜は、La、Mg、Al及びTaのうちの少なくとも一つを含んでいることが好ましい。これにより、閾値電圧を制御することができる。
また、メタルゲート電極は、TiNからなることが好ましい。
また、高誘電体ゲート絶縁膜及びメタルゲート電極を含むpチャネルトランジスタが構成されていることが好ましい。これにより、pチャネルトランジスタにおいて高い仕事関数を実現することができる。
また、高誘電体ゲート絶縁膜及びメタルゲート電極をそれぞれ含むpチャネルトランジスタ及びnチャネルトランジスタが構成されており、pチャネルトランジスタの高誘電体ゲート絶縁膜とメタルゲート電極との界面におけるメタルゲート電極の側に、ハロゲン元素は1×1019atoms/cm3 以上偏析しており、nチャネルトランジスタの高誘電体ゲート絶縁膜とメタルゲート電極との界面におけるメタルゲート電極の側において、ハロゲン元素の濃度は1×1018atoms/cm3 以下であることが好ましい。
このような位置及び濃度にハロゲンを偏析させることにより、pチャネルトランジスタにおいて仕事関数を高めると共に、nチャネルトランジスタにおいてはpチャネルトランジスタよりも仕事関数を低く抑えることができる。
前記の目的を達成するため、本発明の半導体装置の製造方法は、基板上に高誘電体ゲート絶縁膜を形成する工程(a)と、高誘電体ゲート絶縁膜上にメタルゲート電極を形成する工程(b)とを備え、工程(b)において、メタルゲート電極には、結晶状態部位とアモルファス状態部位とが形成され、アモルファス状態部位にハロゲン元素を偏析させる。
本発明の半導体装置の製造方法によると、メタルゲート電極にハロゲン元素が偏析していることにより仕事関数の高められた半導体装置を製造することができる。また、メタルゲート電極は結晶状態部位とアモルファス状態部位とを有するように形成され、そのうちのアモルファス状態部位にハロゲン元素を局在させる。これにより、ハロゲン元素が偏析したメタルゲート電極を実現することができる。
尚、ハロゲン元素は塩素であり、メタルゲート電極はTiNからなり、工程(b)において、メタルゲート電極は、塩化系チタンと窒素ソースとを交互に供給する原子層蒸着法を用いて形成されることが好ましい。
ハロゲン元素として塩素を用いる場合に、このようにしても良い。
また、工程(b)は、メタルゲート電極の膜厚が5nmに達するまでの成膜初期過程と、その後、所定の膜厚に達するまでの後期過程とを含み、成膜初期過程において、窒素ソースの反応が飽和状態となる時間の十分の一以下の時間だけ窒素ソースを供給することによりTiN中の残留塩素を増加させる不飽和な反応を繰り返し行ない、後期過程において、窒素ソースの反応が飽和状態となる時間まで窒素ソースを供給する反応を繰り返し行なうことが好ましい。
このようにすると、高誘電体ゲート絶縁膜とメタルゲート電極との界面におけるメタルゲート電極側に、厚さ5nmの範囲に塩素を偏析させることができる。
また、ハロゲン元素はフッ素であり、メタルゲート電極はTiNからなり、工程(b)において、メタルゲート電極は、塩化系チタンと窒素ソースとを交互に供給する原子層蒸着法を用いて形成されると共に、フッ素ソースを供給することにより高誘電体ゲート絶縁膜とメタルゲート電極との界面におけるメタルゲート電極の側にフッ素を偏析させることが好ましい。
ハロゲン元素としてフッ素を用いる場合に、このようにしても良い。
また、工程(b)は、メタルゲート電極の膜厚が5nmに達するまでの成膜初期過程と、その後、所定の膜厚に達するまでの後期過程とを含み、成膜初期過程において、塩化系チタンを供給した後に不活性ガスにより残留ガスを排気する行程と、400℃以上で且つ500℃以下、1Torr(133Pa)以下の条件下にて0.5秒以下の時間、フッ素ソースを曝露して塩化チタンフッ化物を形成する工程と、窒素ソースを供給することにより塩化チタンフッ化物を窒化してフッ素が残留したTiNを形成する工程とを繰り返し行ない、後期過程において、塩化系チタンと供給と窒素ソースの供給とを繰り返し行なうことが好ましい。
このようにすると、高誘電体ゲート絶縁膜とメタルゲート電極との界面におけるメタルゲート電極側に、厚さ5nmの範囲にフッ素を偏析させることができる。
また、フッ素ソースは、NF3 及びF2 の少なくとも一つあることが好ましい。また、塩化系チタンは四塩化チタンであり、窒素ソースはアンモニアであることが好ましい。
また、高誘電体ゲート絶縁膜及びメタルゲート電極をそれぞれ含むpチャネルトランジスタ及びnチャネルトランジスタが形成され、工程(b)において、ハロゲン元素が偏析されたメタルゲート電極を膜厚10nm以上で且つ20nm以下に形成し、nチャネルトランジスタとなる領域において、ハロゲン元素が偏析されたメタルゲート電極を除去した後、ハロゲン元素を含まない他のメタルゲート電極を膜厚5nm以上で且つ10nm以下に形成する工程を備えることが好ましい。
これにより、pチャネルトランジスタにおいて仕事関数を高めると共に、nチャネルトランジスタにおいてはpチャネルトランジスタよりも仕事関数を低く抑えられた半導体装置を製造することができる。
本発明によると、High-kゲート絶縁膜とTiN等のメタルゲート電極との界面に、高い電気陰性度を有するフッ素、塩素等のハロゲン元素を偏析させることにより、ハロゲン元素を含まないメタルゲート電極よりも大きな仕事関数を得ることができる。また、フッ素を用いる場合、High-kゲート絶縁膜の界面特性を改善し、High-kゲート絶縁膜/メタルゲート電極構造におけるpチャネルトランジスタの高機能化・高信頼性化が可能となる。
図1は、本発明の第1の実施形態に係る例示的半導体装置の概略構成を示す断面図である。 図2は、TiN下部における塩素偏析量と仕事関数との関係を示す図である。 図3は、膜厚15nmのTiN膜における各元素の深さ方向濃度プロファイルを調べたSIMSの結果を示す図である。 図4は、本発明の第1の実施形態におけるTiNの成膜シーケンスを例示した図である。 図5は、TiCl4 とNH3 とについて吸着過程を示した模式図である。 図6は、ALDサイクル中のNH3 パージ時間に対する成膜速度と塩素%とを示した図である。 図7(a)及び(b)は、本発明の第1の実施形態に係るALDサイクルの一例及びそれによって成膜したMOSキャパシタのSIMSプロファイルである。 図8(a)はAtom Probe顕微鏡によって観察したTiN中の塩素の分布図、図8(b)はTEMにより観察したTiNの結晶グレイン、図8(c)は、図8(a)におけるClの分布について示す模式図である。 図9(a)〜(d)は、第1の実施形態に係る半導体装置の製造方法を示す断面図である。 図10は、第1の実施形態に係る半導体製造装置の概略図である。 図11は、第2の実施形態に係る半導体製造装置の概略図である。 図12は、TiN下部におけるフッ素偏析量と仕事関数との関係を示す図である。 図13は、本発明の第2の実施形態において形成したTiN膜における各元素の深さ方向濃度プロファイルである。 図14は、第2の実施形態におけるTiN成膜のALDシーケンスを示した図である。 図15は、NBTI劣化による駆動電流の減少を示した図である。 図16は、第2の実施形態において改善したNBTIの結果である。 図17は、本発明の第3の実施形態に係る半導体装置の概略構成を示す断面図である。 図18(a)〜(e)は、第3の実施形態に係る半導体装置の製造方法を示す断面図である。
(第1の実施形態)
以下、第1の実施形態に係る半導体装置、半導体製造装置及び半導体装置の製造方法について、図面を参照しながら説明する。図1は、本発明の第1の実施形態の例示的半導体装置100が有するCMOS構造の断面を概略として示す図である。
図1に示す通り、半導体装置100は、シリコン基板101を用いて形成されている。シリコン基板101の表面部は、STI(Shallow Trench Isolation)形状のシリコン酸化膜からなる素子分離層104によって区画され、各区画にはイオン注入によって形成されたn型ウェル領域102及びp型ウェル領域103が配置されている。
n型ウェル領域102には、pチャネルトランジスタ105が形成されている。pチャネルトランジスタ105は、n型ウェル領域102上に形成されたHigh-k(高誘電体)ゲート絶縁膜であるゲート絶縁膜109と、その上に形成されたPMOS用のTiN電極110と、TiN電極110の両側部分のn型ウェル領域102にイオン注入により形成されたp型拡散層107及びp型エクステンション層108とを有する。更に、TiN電極110上にホウ素等の不純物がイオン注入されたポリシリコン電極111が形成され、TiN電極110及びポリシリコン電極111の側壁を覆うようにシリコン酸化膜又はシリコン窒化膜からなるサイドウォール112が形成されている。
また、p型拡散層107及びp型エクステンション層108により形成されるソース・ドレイン領域上と、ポリシリコン電極111上とについては、ニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)によりシリサイド化されている(図示は省略)。更に、前記p型のソース・ドレイン領域には、ゲルマニウム(Ge)を10%〜30%含んだSiGeエピタキシャル層(図示省略)が形成されていても良い。
同様に、p型ウェル領域103には、nチャネルトランジスタ106が形成されている。これは、High-kゲート絶縁膜であるゲート絶縁膜115と、その上のNMOS用のTiN電極116と、TiN電極116の両側部分のp型ウェル領域103に形成されたn型拡散層113及びn型エクステンション層114とを有する。更に、TiN電極116上にホウ素等の不純物がイオン注入されたポリシリコン電極117が形成され、TiN電極116及びポリシリコン電極117の側壁を覆うように、サイドウォール118が形成されている。
また、n型拡散層113及びn型エクステンション層114により形成されたソース・ドレイン領域上と、ポリシリコン電極117上とは、ニッケルシリサイド(NiSi)又はニッケル白金シリサイド(NiPtSi)によりシリサイド化されている(図示は省略)。更に、前記n型ソース・ドレイン領域には、炭素を1%〜3%含んだカーボンドープSiエピタキシャル層(図示省略)が形成されていても良い。
尚、pチャネルトランジスタ105におけるゲート絶縁膜109は、Hf、Si及びZrを含む酸化膜により構成されたHigh-k膜中に、仕事関数を制御するためのAl、Ta等を含んでいる。また、nチャネルトランジスタのゲート絶縁膜115は、Hf、Si及びZrを含む酸化膜により構成されたHigh-k膜中に、仕事関数を制御するためのLa、Mg等を含んでいる。
ここで、前述の通り、TiNゲート電極の技術については特許文献1に記載がある。しかしながら、特許文献1はTiNの物性値について一切言及していない。また、仮にスパッタ等によりTiNゲート電極を形成した場合、膜厚20nm程度とすることにより4.7eV程度の仕事関数を実現可能であるが、それ以上TiN膜を厚膜化したとしても仕事関数は変化しない。
これに対し、本実施形態の例示的半導体装置100における特徴の一つは、TiN電極と、High-kゲート絶縁膜との界面に、窒素よりも電気陰性度の高いCl(塩素)を偏析させることにより仕事関数を向上させる点にある。尚、Clの偏析は、結晶状態部位とアモルファス状態部位とを有するようにメタルゲート電極を形成すると共に、アモルファス状態部位にClを存在させることにより実現される。この点については、後により詳しく述べる。
一つの例として、TiとNとの組成比N/Tiを1.0以上で且つ1.2以下に設定し、TiNを結晶状態として用いた。更に、本願発明者らは、ALD(Atomic Layer Deposition )法により成膜初期段階(例えば、膜厚が5nm程度となるまでの段階)において塩素含有量を増加させて仕事関数を増加できることを見出し、これをCMOSトランジスタに適用した。これらのことについて、以下に更に説明する。
図2に、TiN電極とHigh-kゲート絶縁膜との界面(より正確には、該界面におけるTiN電極側)に偏析した塩素の濃度と、仕事関数との関係を示す。当該箇所における塩素濃度が1×1018atoms/cm3 以下である比較例の場合には仕事関数が4.7eV付近であるのに対し、塩素濃度を高めて1×1018atoms/cm3 以上としている本実施形態の場合、4.8eV以上の仕事関数を得ることができる。
また、図3は、Si基板上に形成した膜厚15nmのTiN薄膜(塩素の偏析を行なっていない膜)において、深さ方向の塩素の分布を調べたSMIS(2次イオン質量分析法)の結果を示す。測定方法について、Cs+ の一次イオン種を用い、Clの検出には35Clを用いた。また、加速エネルギーは500eVである。
図3の横軸はスパッタリングレートから換算された膜厚であり、横軸の左側から右側に向かってTiN膜上部側からTiN膜下部側を示す。また、左の縦軸はClの単位体積あたりの原子の個数(atoms/cm3 )、右の縦軸はTi、Si、Oの2次イオン強度(count/sec )を示す。
図3の通り、塩素を含有しないTiNの場合、Si基板と同等である2×1017atoms/cm3 程度の塩素濃度が検出される。つまり、このSMIS定量において、検出限界が2×1017atoms/cm3 程度であることを示す。
ALD法を用いてTiN膜を形成する場合、図4に示すように、例えば、塩化チタン(TiCl4 )等のTiソースとアンモニア(NH3 )等の窒素ソースとを不活性ガスであるN2 を介して交互に供給する。言い換えると、Tiソースの供給、不活性ガスの供給、窒素ソースの供給、不活性ガスの供給を1サイクルとして、これを繰り返す。これにより、原子層蒸着を繰り返しながら成膜を行なう。これらの原料ガスを用いてALD−TiN膜を形成する場合、気相成長を抑え、シート抵抗を実用的な範囲である100Ω/□以下とするためには、成膜温度帯域を400℃〜600℃程度とするのが好ましい。
但し、400℃〜600℃では、TiN膜中の塩素濃度をダイナミックに変化させることはできない。そこで、塩素濃度を上げるためには、TiCl4 の分解を抑制するようにALDサイクルを調整する。図4のようなサイクルを行なった場合、図5に示すように、TiCl4 が熱分解されて表面に吸着し、更に、Ti未結合手と基板表面とが化学反応して化学結合する。
この後、残留ガス(Cl2 )をAr、N2 等の不活性ガスによって排気した後、窒素源となるNH3 を供給する。
このとき、図6に示すように、NH3 反応が不飽和な状態になるように、NH3 を供給する時間を調整する。例えば、1秒以下とする。また、反応が飽和領域に達するNH3 パージ時間の十分の一の時間とするのが好ましい。これにより、TiN中に残留する塩素濃度を制御することができる。尚、NH3 ガスの流量を低減することによっても塩素濃度の制御が可能である。
また、成膜チャンバ内の圧力は、膜厚均一性の観点から、0.3Torr〜3Torr(40Pa〜400Pa)が最適である。この範囲において圧力条件を変えるだけでは、塩素濃度をダイナミックに変化させることはできない。
図7(a)に、TiN電極とHigh-kゲート絶縁膜との界面に塩素を偏析させるALDシーケンスを示す。横軸には成膜のサイクル数(ここでは150サイクル)、縦軸にはアンモニアパージを行なう時間を示している。ALD−TiNのデポレートは0.1nm/cycleと非常に遅いため、例えば、15nm成膜する場合には150サイクル以上必要となる。
図7(a)に示す通り、成膜初期にはNH3 パージ時間を0.2秒にまで短くすることにより、TiCl4 とNH3 との反応を不飽和状態とし、TiN膜中に残留する塩素を増加させる。
しかし、塩素濃度の高いTiNを15nmにまで成膜した場合、メタルゲート電極として必要とされる抵抗値を得ることができない。つまり、TiNメタルゲート電極としては、シート抵抗にして高くとも100Ω/□以下の抵抗値であることが必要となる。このため、塩素濃度が高く抵抗の大きい層を厚くすることはできない。そこで、成膜初期の0.5nm〜5nm程度の膜厚のTiN層について、高い塩素濃度を持たせるようにする。
このように、本願発明者らは、成膜初期段階において塩素が偏析するようにALDシーケンスを工夫することにより、TiN電極とHigh-kゲート絶縁膜との界面に塩素を高濃度に偏析できることを見出した。
図7(b)は、Si基板上にHfSiONにより形成したHigh-kゲート絶縁膜上に、上記の方法により膜厚15nmのTiN膜を成膜し、更にPoly−Siを堆積させた後、1000℃で1秒の活性化アニールを行なったMOSキャパシタのSMIS測定結果である。測定方法及び縦軸は、図3の場合と同様である。横軸は、左から右に向かってPoly−Si上部からSi基板側を示す。
丸(○)がSi強度、三角(△)がTi強度、バツ(×)が酸素強度を示している。また、黒の実線が塩素の膜中濃度を示している。
黒の実線である塩素の深さ方向プロファイルに注目すると、High-kゲート絶縁膜に由来する酸素ピークが出現するよりも前に、塩素濃度のピークが出現する。このことから、塩素は、TiNゲート電極とHigh-kゲート絶縁膜との界面におけるTiNゲート電極の側(TiNゲート電極の下部)に偏析できていることが分かる。また、TiNゲート電極下部における塩素が偏析した部分におけるピーク濃度が1×1020atoms/cm3 以上と高濃度であるのに対し、TiNゲート電極の上部及び中部においては4×1018atoms/cm3 程度の比較的一定の値を取ることが分かる。このように、TiN膜において塩素の深さ方向プロファイルを変化させる方法は、本願発明者らによって実現されたものである。
尚、TiN膜の形成方法としては、ALDの他に、MOCVD(Metal Organic Chemical Vapor Deposition)法、PVD(Physical Vapor Deposition)法等も考えられる。しかしながら、膜厚5nm以下の塩素が偏析した層を制御する目的のためには、原子層の制御が可能であるため、以上に説明したALD法が好ましい。
TiNゲート電極下部における塩素の偏析濃度と仕事関数との関係については、既に図2に示した通りである。
TiNの仕事関数は膜厚依存性が大きく、また、膜厚15nm程度で仕事関数が飽和することが知られている。これに対し、電気陰性度が窒素の3.04に比べて3.16と高い塩素をゲート電極とHigh-kゲート絶縁膜との界面に偏析させることにより、(塩素が無い場合には飽和している)仕事関数を更に増加させることができる。
但し、塩素の偏析量も1×1021atoms/cm3 程度において飽和すると考えられ、また、それ以上過剰に偏析させたとしても、後工程におけるアニール等により拡散してしまうと考えられるため、塩素の偏析量には限度がある。この結果、仕事関数の増加についても限界があるが、4.85eV程度までは実現可能と考えられる。
また、図7(b)に示すキャパシタのSMIS分析は、ウェハ上に2mm角に作成したMOSキャパシタを評価しており、仕事関数を評価したMOSキャパシタは同じウェハ上に0.1mm角に作成したキャパシタである。これは、SIMS分析の場合、2次イオン強度を向上させるためには最小でも1mm角程度のスポットのサイズを必要とするためである。このため、ゲート長が50nm以下のトランジスタにおけるTiNゲート電極をSMIS分析により評価するのは難しい。しかし、近年、Atom Probe顕微鏡を用いて、容易にトランジスタ構造中の偏析元素を観察することが可能となっている。
図8(a)に、Atom Probe顕微鏡により観察したTiNの平面像を示す。また、図8(b)は、TiNのTEMによる平面像であり、TiNが結晶粒界を持つことが分かる。図8(a)において、塩素は丸(●)により示され、Ti及びNは小さな四角(■)により示されている。Ti及びNの色が薄い部分は結晶状態の箇所であり、濃い部分はアモルファス状態である箇所である。塩素はアモルファス状態の部分に局在していることが分かり、結晶粒界に存在することが明らかになった。これについて、図8(c)に模式的に示している。つまり、結晶状態の箇所Cとその間のアモルファス状態の箇所Aとがあり、塩素原子Clは、結晶粒界であるアモルファス状態の箇所Aに局在している。
以上から、TiN中の塩素の偏析量が増える際には、結晶粒界に塩素が入り込んでいることを示している。また、本実施形態の例のようにアンモニアパージの時間を短縮して塩素の偏析量を増やすことは、TiNの結晶粒を小さくして、塩素が存在できる結晶粒界を相対的に多くすることと同義である。
以上のように、TiNゲート電極とHigh-kゲート絶縁膜との界面に塩素を偏析させることにより、塩素を偏析させていないTiNによって実現されていた仕事関数を越える仕事関数を得ることができる。このように高い仕事関数が得られることから、フラットバンドシフト量を増やすことにより、pチャネルトランジスタにおける閾値電圧調整が容易になる。
次に、半導体装置100の製造方法について、その工程断面を模式的に示す図である図9(a)〜(d)を参照して説明する。
まず、図9(a)に示すように、シリコン基板101上に、STI状のシリコン酸化膜からなる素子分離層104によって絶縁分離されたn型ウェル領域102及びp型ウェル領域103を形成する。更に、n型ウェル領域102及びp型ウェル領域103上にわたって、高誘電体膜151及びTiN膜152を順次積層する。
ここで、高誘電体膜151は、例えば水蒸気、一酸化窒素雰囲気によりシリコン基板101を酸化したシリコン酸化膜上に、High-k材料からなる膜が積層されたHigh-kゲート絶縁膜として形成する。High-k材料としては、例えば、Hf、Zr等の4族元素を主成分とした酸化物であってもよい。また、シリケートと称されるHf、Zr等とSiとの酸化物であってもよい。また、アルミネートと称されるHf、Zr等とAlの酸化物であっても良い。更には、以上の材料に、プラズマ窒化、アンモニア窒化等により窒素添加した酸窒化物であっても良い。
また、このようなHigh-k絶縁膜の形成には、MOCVD法、ALD法、PVD法等を用いることができる。窒化処理を加えた場合には、1000℃以上の熱処理を行なうことが好ましい。
尚、nチャネル及びpチャネルのHigh-kゲート絶縁膜中には、閾値電圧を制御するために、それぞれ異なったHigh-k材料を混ぜることが好ましい。例えば、nチャネル側にLaO、MgO等を、pチャネル側にAlO、TaO等を混ぜることが好ましい。
次に、TiN膜152の形成について説明する。TiN膜152は、図10に要部を模式的に示すような枚葉式の成膜装置を用いて、ALD法により形成する。図10の枚葉式成膜装置において、複数のガス供給口(201及び202)を有するシャワープレート203が、シリコン基板101の備えられたステージヒータ204に平行に配置されている。ガス供給口は、Tiソースガスの供給口201と窒素ソースガスの供給口202とが独立に配置されている。更に、Tiソースガス及び窒素ソースガスの流量を調整するマスフローコントローラー208及び207と、液体ソースをバブリングするための液体ガスバブラーキャビネット209が備えられている。
また、ステージヒータ204とシャワープレート203との間隔206は、例えば5mmから50mmまで可変であり、この間隔206を変更することによりチャンバー内の容積を自在に変えることができる。
各ソースガスの供給については、0.01秒以上の間隔(例えば、間隔206が5mm〜50mmの場合に0.01秒〜0.5秒)で開閉を制御できる差圧調整弁により、ガス流量及びガス供給時間が制御されている。例えば、図4のようなガス供給のサイクルを繰り返すことにより、原子層レベルに制御された成膜を実現することができる。また、非常に速いガスの切替が必要であるから、チャンバー容積はできるだけ小さい方が好ましい。よって、ステージヒータ204とシャワープレート203との間隔206を変えて、膜種等に応じて最適になるように設定する。
ガス供給のためには、例えば、Tiソースとして塩化チタン(TiCl4 )等の液体ソースをAr等の不活性ガスによってバブリングすることにより気化させる。気化したTiCl4 ガスをチャンバー内にt1=0.05秒だけ供給し(図4)、High-kゲート絶縁膜上にTiを吸着させる。
次に、チャンバー内に充満したTiCl4 ガスを排出するために、例えば、窒素ガスを1000sccm(標準状態においてml/分)の流速にてt2=0.3秒だけ供給する。続いて、窒素ソースガスであるアンモニアを、例えば1000sccmの流量にてt3=1秒だけ供給する。これにより、既に吸着しているTiに結合させる。
その後、チャンバー内に充満しているアンモニアガスを取り除くために、窒素を1000sccmの流量にてt2=0.3秒だけ供給する。
以上の一連のガス供給1サイクルによって成膜される膜厚を、デポレート(Depo Rate 、成膜速度)と呼ぶ。
図7(a)に示すように、NH3 パージ時間について、成膜開始直後〜30サイクルまでは0.2秒、31〜60サイクルでは1秒、61サイクル以降は3秒としてTiN膜を成膜する。これにより、図7(b)に示すようなTiN中のClプロファイルを有するTiN膜152を形成する。
次に、図9(b)の工程を行なう。まず、TiN膜152上に形成された自然酸化膜、レジストの塗布・除去により変質したTiN層等を除去するために、過酸化水素水により表面を洗浄する。続いて、TiN膜152上に、ポリシリコン電極111及び117に加工するためのポリシリコン膜を100nmの膜厚に形成する。TiN膜152とポリシリコン膜との界面に酸化層が存在すると界面抵抗が上昇するため、前記の過酸化水素水による洗浄を行なった方が良い。
ポリシリコン膜は、例えば、シラン(SiH4 )、ジシラン(Si26)を用いて500℃〜550℃の温度にて形成した後、熱処理を加えてポリシリコン化してもよい。また、600℃〜630℃にてポリシリコンを形成する方法もある。更に、シランとゲルマン(GeH4 )を用い、ポリシリコンに代えてシリコンゲルマニウムからなる電極を形成しても良い。
次に、フォトリソグラフィ技術及びエッチング技術を用い、ゲート電極レジストパターン(図示省略)を形成する。続いて、ハロゲン系のエッチングガスを用い、ポリシリコン膜及びTiN膜について異方性エッチングを行なう。その後、フッ素系洗浄により、ゲート電極のエッチングの際に残ったポリマーと、ゲート電極下方の必要な部分を除く高誘電体膜151を除去する。これにより、n型ウェル領域102にゲート絶縁膜109、TiN電極110及びポリシリコン電極111を形成すると共に、p型ウェル領域103にゲート絶縁膜115、TiN電極116及びポリシリコン電極117を形成する。
次に、図9(c)の工程を行なう。まず、600℃以下の成膜温度にて、シリコン窒化膜を形成する(図示省略)。これには、ALD法を用いるのが最適であり、例えば、ジクロロシラン(SiH2Cl2)とアンモニアとを交互に供給することにより、5〜10nmの膜厚に形成する。続いて、ハロゲン系のガスにて異方性のドライエッチングを行ない、ゲート電極の側壁のみにシリコン窒化膜を残す(図示省略)。
その後、n型ウェル領域102をレジストにより保護し、p型ウェル領域103に、n型の不純物であるリン、ヒ素、アンチモン等をイオン注入する。続いて、n型ウェル領域102のレジストを除去する。その後、今度はp型ウェル領域103をレジストにより保護し、n型ウェル領域102に、p型の不純物であるボロン、インジウム等をイオン注入し、1000℃以上の熱処理によりイオン種を活性化させる。これにより、n型エクステンション層114及びp型エクステンション層108を形成する。
次に、図9(d)の工程を行なう。まず、膜厚5nm〜10nmのシリコン酸化膜と、膜厚10nm〜30nmのシリコン窒化膜とを積層する。続いて、異方性のドライエッチングを行なうことにより、n型ウェル領域102及びp型ウェル領域103において、ゲート電極の側壁にサイドウォール112及びサイドウォール118を形成する。但し、該サイドウォールが2層構造であることは必須ではなく、シリコン酸化膜の一層構造、又は、シリコン窒化膜の一層構造であっても良い。
次に、n型ウェル領域102の上部をレジストにより保護し、p型ウェル領域103にn型不純物であるリン、ヒ素、アンチモン等をイオン注入してn型拡散層113を形成する。続いて、n型ウェル領域102のレジストを除去し、代わってp型ウェル領域103をレジストにより保護する。この状態にて、p型の不純物であるボロン、インジウム等をイオン注入することによりp型拡散層107を形成する。この後、900℃〜1050℃にてn型拡散層113及びp型拡散層107のイオン種を活性化し、ソース・ドレイン領域を形成する。
この後、ソース・ドレイン領域上部とポリシリコン電極111及び117上部とについて、Ni、Ptによるシリサイド化を行なう。また、コンタクトホールエッチングストッパーとなるシリコン窒化膜(図示せず)と、層間絶縁膜(図示せず)となるシリコン酸化膜とを形成し、平坦化処理等の通常の工程を経て、半導体装置100が形成される。
(第2の実施形態)
以下、第2の実施形態に係る半導体装置、半導体製造装置及び半導体装置の製造方法について、図面を参照しながら説明する。
図11は、本実施形態にて用いる半導体製造装置の特徴を概略的に示す図である。該半導体製造装置は、図10に示す半導体製造装置と同様に枚葉式の成膜装置であり、NF3 、F2 等のフッ素系ガスを導入可能である点を大きな特徴とする。フッ素系のガスは、シャワープレート203の複数のガス供給口201及び202から供給可能であり、TiNフッ化物の蒸気圧を考慮した圧力・温度に設定して供給する。尚、図11では図示していないが、Tiソースガス及び窒素ソースガスについても供給できるようになっている。
本実施形態の場合、TiNをウェハ一枚に堆積する毎にチャンバ内をフッ素によって満たすことにより、TiNを成膜する際にチャンバ内に残留しているフッ素をTiN膜中に取り込む。これにより、High-kゲート絶縁膜とTiNゲート電極との界面に、電気陰性度が塩素よりも更に高い3.98であるフッ素を導入することができる。結果として、TiNゲート電極の仕事関数を向上することができる。
図12に、TiN電極とHigh-kゲート絶縁膜との界面に偏析したフッ素の濃度と、仕事関数との関係を示す。当該箇所におけるフッ素濃度が1×1018atoms/cm3 以下である比較例の場合には仕事関数が4.8eV以下であるのに対し、フッ素濃度を高めて1×1018atoms/cm3 以上としている本実施形態の場合、およそ4.9eVの仕事関数を得ることができる。尚、図12には、第1の実施形態の場合(塩素を偏析した場合)についても示している。
また、図13は、膜厚10nmのTiN薄膜において、深さ方向のフッ素の分布を調べたSIMSの測定結果である。より詳しくは、シリコン基板上に、High-kゲート絶縁膜を介してTiN膜が形成され、更にその上にPoly−Si膜が形成された構造についてのSIMS測定結果である。測定方法について、Cs+ の一次イオン種を用い、Fの検出には19Fを用いた。また、加速エネルギーは500eVである。
図13の横軸はスパッタリングレートから換算された膜厚であり、横軸の左側から右側に向かってTiN膜上部側からTiN膜下部側を示す。また、左の縦軸はフッ素及び酸素について単位体積あたりの原子の個数(atoms/cm3 )を示す。
酸素は2つのピークを有している。一つは、酸素を含む材料からなるHigh-kゲート絶縁膜に位置している。また、もう一つは、TiN膜が自然酸化された際に形成されるPoly−Si膜とTiN膜との界面に位置している。
また、Fについては、Fa、Fb及びFcの3つの場合を示している。High-kゲート絶縁膜における酸素のピーク位置に対し、フッ素の偏析ピーク位置(Fa及びFbの場合)がTiN膜側にずれていることから、フッ素はTiN下部に偏析していることがわかる。
Faは、フッ素の導入を行なわず、TiCl4 及びNH3 を用いたALD法によって成膜した際のフッ素の深さ方向プロファイルである。この場合、フッ素は1×1018atoms/cm3 程度しか含有されていないことが分かる。
Fbは、チャンバ内に200sccmのNF3 をヒータ温度550℃、チャンバ圧力0.3Torr(40Pa)の条件下にて供給し、チャンバ内をフッ素雰囲気にした後、ALD法によってTiN膜を成膜した際のフッ素の深さ方向プロファイルである。この場合、2×1019atoms/cm3 程度のフッ素がTiN膜とHigh-kゲート絶縁膜との界面に偏析していることが分かる。
Fcは、更にフッ素を高濃度に充填するために、成膜初期段階(例えば、膜厚が5nm以下の段階が望ましい)である10サイクル分のみ、図14に示すようにガスを供給した(つまり、TiCl4 パージ後、N2 パージとNH3 パージとの間に、NF3 を0.1秒パージした)場合のフッ素の深さ方向プロファイルである。このとき、チャンバ温度を500℃、チャンバ圧力を0.3Torr(40Pa)に制御すると、フッ素はTiに吸着するだけであり、Tiフッ化物として気化することは抑制される。このため、残留フッ素層を形成することができる。成膜初期段階の後は、図4に示すサイクルにてALD成膜を繰り返している。尚、フッ素を偏析させるためには、図14に示すタイミングにおいてNF3 をパージするのが望ましいことを本願発明者らが見出している。
以上のように、TiN膜(TiN電極)とHigh-kゲート絶縁膜との界面(より正確には、外界面のTiN電極側)にフッ素を偏析させることにより、仕事関数を向上させることができる。
更に、本実施形態の半導体装置によると、TiN電極が有する大きな内部応力によりHigh-kゲート絶縁膜とSi基板との間の界面準位が悪化することを原因とするNBTI劣化を改善することができる。これについて以下に説明する。
図15に示すように、NBTIは、PMOSトランジスタをオン状態にした際に、High-kゲート絶縁膜自身の劣化及びHigh-kゲート絶縁膜とSi基板との界面の劣化に伴い、トランジスタの閾値電圧がマイナス側にずれてしまう減少である。NBTIが生じると、閾値電圧のシフトによってPMOSトランジスタの動作時の駆動電流が減り、性能が劣化することが問題となる。
NBTIは、ゲート絶縁膜にかかる電界及びその電界をかける時間と、温度とに依存することが分かっている。また、これを利用して加速試験を行ない、実動作条件下における劣化量を見積もることでトランジスタの寿命予測が行なわれる。
特許文献2にある通り、SiON/Poly−Siゲート構造において、NBTIは、Si基板側へのフッ素注入と、Poly−Siゲート電極へのフッ素注入により改善されていた。しかし、TiN等のメタルゲート電極を用いる場合には、TiNゲート電極上のPoly−Siゲート電極上からフッ素注入を行なったとしても、メタルゲート電極によってSi基板へのフッ素注入が阻害される。このため、NBTI劣化のフッ素注入による改善効果は、期待されるほどには現れない。
これに対し、本実施形態の半導体製造装置によると、TiN電極とHigh-kゲート絶縁膜との界面に高濃度にフッ素を偏析させることにより、図16に示すように、NBTI劣化を改善することができる。これは、フッ素の偏析により、High-kゲート絶縁膜中の欠陥、TiN電極が有する大きな内部応力によって誘起されるHigh-kゲート絶縁膜とSi基板との間の界面準位の悪化を抑制することができるためである。
以上のように、本実施形態の半導体製造装置によると、従来のTiN電極による飽和仕事関数以上の仕事関数を得ると共に、NBTI劣化を改善することができる。
(第3の実施形態)
以下、第3の実施形態に係る半導体装置及びその製造方法について、図面を参照しながら説明する。図17は、本実施形態の例示的半導体製造100aの概略構成を示す断面図である。
図17に示す本実施形態の半導体装置100aは、図1に示す第1の実施形態の半導体装置100と類似した構造を有する。よって、以下には相違点を詳しく説明し、同じ構成要素には図1と同じ符号を付すことにより詳しい説明を省略する。
図17の半導体装置100aが図1の半導体装置100と異なる点の一つは、n型ウェル領域102に設けられたpチャネルトランジスタ105において、TiNからなるゲート電極が2層構造を有する点である。つまり、pチャネルトランジスタ105のHigh-kゲート絶縁膜であるゲート絶縁膜109上には、下から順に、下層TiN電極301、上層TiN電極302、ポリシリコン電極111が積層されている。
上層TiN電極302は、nチャネルトランジスタ106におけるTiN電極303とフッ素、塩素を含まない点で同様であり、また、膜厚も同じである。これに対し、下層TiN電極301は、第1の実施形態及び第2の実施形態において説明したTiN電極と同様に、下層TiN電極301とゲート絶縁膜109との界面に仕事関数を向上させるハロゲン元素が偏析している。
このような積層構造のTiN電極において、ゲート絶縁膜109と下層TiN電極301との界面におけるハロゲン元素の偏析量が仕事関数には大きな影響を有している。
pチャネルトランジスタ105とは異なり、nチャネルトランジスタ106については、仕事関数を下げることが望まれる。このため、nチャネルトランジスタ106側のTiN電極はハロゲン元素を含む必要が無く、スパッタ等により形成したTiN電極を用いるのが適している。
次に、半導体装置100aの製造方法について、その製造工程の断面を模式的に示す図18(a)〜(d)を参照して説明する。
まず、図18(a)のように、シリコン基板101上に素子分離層104、n型ウェル領域102、p型ウェル領域103、高誘電体膜151を形成する。これは、第1の実施形態において図9(a)を参照して説明した内容と同様である。
次に、図18(b)に示すように、高誘電体膜151上に膜厚15nm以上に第1のTiN膜304を形成する。この際、高誘電体膜151と第1のTiN膜304との界面にハロゲン元素が偏析するように、第1及び第2の実施形態にて説明した方法を用いる。
続いて、図18(c)の工程を行なう。まず、pチャネルトランジスタ105側に、レジスト、シリコン酸化膜、シリコン窒化膜等を用いた保護膜(図示省略)を形成し、nチャネルトランジスタ106側の第1のTiN膜304を除去する。これには、例えば、硫酸と過酸化水素水との混合液によるエッチングを行なう。続いて、保護膜を除去した後、ハロゲン元素を含まない第2のTiN膜305を膜厚5nm程度に形成する。これには、例えば、反応性スパッタを利用すればよい。
この後、第1の実施形態において図9(b)〜(d)を参照して説明した内容と同様にして、図18(d)の構造を得る。尚、pチャネルトランジスタ105において、高誘電体膜151、第1のTiN膜304及び第2のTiN膜305は、順に、ゲート絶縁膜109、下層TiN電極301及び上層TiN電極302となる。また、nチャネルトランジスタ106において、高誘電体膜151及び第2のTiN膜305が順にゲート絶縁膜109及びTiN電極303となる。
以上に説明した本実施形態の半導体装置100aによると、nチャネルトランジスタ106におけるTiN電極303については仕事関数の増加を抑えながら、pチャネルトランジスタ105における下層TiN電極301については仕事関数を増加させた効率の良い構造を実現できる。このような半導体装置100aによると、pチャネルトランジスタ及びnチャネルトランジスタの両方について、シリコンバンドエッジ付近に仕事関数を持つことから低域値で動作可能なHigh-kゲート絶縁膜/メタルゲート電極構造を有する高速トランジスタを実現することができる。
本発明に係る半導体装置及び半導体装置の製造方法は、低域値にて動作可能であり、高速且つ高信頼性の半導体装置として、半導体集積回路を用いる種々の電子機器にも有用である。
100 半導体装置
100a 半導体装置
101 シリコン基板
102 n型ウェル領域
103 p型ウェル領域
104 素子分離層
105 pチャネルトランジスタ
106 nチャネルトランジスタ
107 p型拡散層
108 p型エクステンション層
109 ゲート絶縁膜
110 TiN電極
111 ポリシリコン電極
112 サイドウォール
113 n型拡散層
114 n型エクステンション層
115 ゲート絶縁膜
116 TiN電極
117 ポリシリコン電極
118 サイドウォール
151 高誘電体膜
152 TiN膜
201 ガス供給口
202 ガス供給口
203 シャワープレート
204 ステージヒータ
206 間隔
301 下層TiN電極
302 上層TiN電極
303 TiN電極
304 第1のTiN膜
305 第2のTiN膜

Claims (13)

  1. 基板上に形成された高誘電体ゲート絶縁膜と、
    前記高誘電体ゲート絶縁膜上に形成されたメタルゲート電極とを備え、
    前記メタルゲート電極は、複数の結晶状態部位と、前記複数の結晶状態部位の間の結晶粒界に位置するアモルファス状態部位とを有するTiNからなり、
    前記メタルゲート電極において、前記高誘電体ゲート絶縁膜に近い下部領域における前記TiNの結晶粒は、前記下部領域よりも前記高誘電体ゲート絶縁膜から遠い上部領域における前記TiNの結晶粒よりも小さく、
    前記TiNは、ハロゲン元素を含み、
    前記TiNの前記下部領域における前記ハロゲン元素の濃度は、前記上領域における前記ハロゲン元素の濃度よりも高く、
    前記アモルファス状態部位に前記ハロゲン元素が偏析していることを特徴とする半導体装置。
  2. 請求項1において、
    前記ハロゲン元素は、塩素及びフッ素のうちの少なくとも一つであることを特徴とする半導体装置。
  3. 請求項1又は2において、
    前記ハロゲン元素は、1×1019atoms/cm3以上偏析していることを特徴とする半導体装置。
  4. 請求項1〜3のいずれか一つにおいて、
    前記ハロゲン元素は、厚さ5nm以下の範囲に偏析していることを特徴とする半導体装置。
  5. 請求項1〜4のいずれか一つにおいて、
    前記高誘電体ゲート絶縁膜は、ハフニウムシリケート又はハフニウム酸化膜からなることを特徴とする半導体装置。
  6. 請求項5において、
    前記高誘電体ゲート絶縁膜は、La、Mg、Al及びTaのうちの少なくとも一つを含んでいることを特徴とする半導体装置。
  7. 請求項1〜6のいずれか一つにおいて、
    前記高誘電体ゲート絶縁膜及び前記メタルゲート電極を含むpチャネルトランジスタが構成されていることを特徴とする半導体装置。
  8. 請求項1〜6のいずれか一つにおいて、
    前記高誘電体ゲート絶縁膜及び前記メタルゲート電極をそれぞれ含むpチャネルトランジスタ及びnチャネルトランジスタが構成されており、
    前記pチャネルトランジスタの前記高誘電体ゲート絶縁膜と前記メタルゲート電極との界面における前記メタルゲート電極の側に、ハロゲン元素は1×1019atoms/cm3 以上偏析しており、
    前記nチャネルトランジスタの前記高誘電体ゲート絶縁膜と前記メタルゲート電極との界面における前記メタルゲート電極の側において、ハロゲン元素の濃度は1×1018atoms/cm3 以下であることを特徴とする半導体装置。
  9. 基板上に高誘電体ゲート絶縁膜を形成する工程(a)と、
    前記高誘電体ゲート絶縁膜上にメタルゲート電極を形成する工程(b)とを備え、
    前記工程(b)は、塩化系チタンと窒素ソースとを交互に供給する原子層蒸着法を用いて、複数の結晶状態部位と、前記複数の結晶状態部位の間の結晶粒界に位置するアモルファス状態部位とを有し、且つ、ハロゲン元素を含有するTiNからなる前記メタルゲート電極を形成する工程(c)を含み、
    前記工程(c)において、前記高誘電体ゲート絶縁膜に近い下部領域における前記TiNの結晶粒を、前記下部領域よりも前記高誘電体ゲート絶縁膜から遠い上部領域における前記TiNの結晶粒よりも小さく形成し、
    前記下部領域における前記ハロゲン元素の濃度は、前記上部領域における前記ハロゲン元素の濃度よりも高く、
    前記アモルファス状態部位に前記ハロゲン元素が偏析されることを特徴とする半導体装置の製造方法。
  10. 請求項9において、
    前記工程(c)は、前記TiNの膜厚が5nmに達するまでの成膜初期過程と、その後、所定の膜厚に達するまでの後期過程とを含み、
    前記成膜初期過程において、前記窒素ソースの反応が飽和状態となる時間の十分の一以下の時間だけ前記窒素ソースを供給することにより前記TiN中の残留塩素を増加させる不飽和な反応を繰り返し行ない、
    前記後期過程において、前記窒素ソースの反応が飽和状態となる時間まで前記窒素ソースを供給する反応を繰り返し行なうことを特徴とする半導体装置の製造方法。
  11. 請求項9において、
    前記ハロゲン元素はフッ素であり、
    前記工程(c)において、前記TiNは、前記塩化系チタンと前記窒素ソースとを交互に供給する原子層蒸着法を用いて形成されると共に、フッ素ソースを供給することにより前記下部領域にフッ素を偏析させることを特徴とする半導体装置の製造方法。
  12. 請求項11において、
    前記フッ素ソースは、NF3 及びF2 の少なくとも一つあることを特徴とする半導体装置の製造方法。
  13. 請求項9〜12のいずれか一つにおいて、
    前記塩化系チタンは四塩化チタンであり、
    前記窒素ソースはアンモニアであることを特徴とする半導体装置の製造方法。
JP2009156952A 2009-07-01 2009-07-01 半導体装置及びその製造方法 Active JP5285519B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2009156952A JP5285519B2 (ja) 2009-07-01 2009-07-01 半導体装置及びその製造方法
PCT/JP2010/001001 WO2011001558A1 (ja) 2009-07-01 2010-02-17 半導体装置及びその製造方法
US13/313,607 US8836039B2 (en) 2009-07-01 2011-12-07 Semiconductor device including high-k/metal gate electrode

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2009156952A JP5285519B2 (ja) 2009-07-01 2009-07-01 半導体装置及びその製造方法

Publications (3)

Publication Number Publication Date
JP2011014689A JP2011014689A (ja) 2011-01-20
JP2011014689A5 JP2011014689A5 (ja) 2011-10-27
JP5285519B2 true JP5285519B2 (ja) 2013-09-11

Family

ID=43410652

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2009156952A Active JP5285519B2 (ja) 2009-07-01 2009-07-01 半導体装置及びその製造方法

Country Status (3)

Country Link
US (1) US8836039B2 (ja)
JP (1) JP5285519B2 (ja)
WO (1) WO2011001558A1 (ja)

Families Citing this family (316)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
JP5787488B2 (ja) * 2009-05-28 2015-09-30 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
JP2013051250A (ja) 2011-08-30 2013-03-14 Elpida Memory Inc 半導体装置及びその製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR20130047054A (ko) * 2011-10-31 2013-05-08 에스케이하이닉스 주식회사 고유전층 및 금속게이트전극을 갖는 반도체장치 및 그 제조 방법
TWI567801B (zh) * 2011-11-03 2017-01-21 聯華電子股份有限公司 半導體結構及其製程
CN103117297B (zh) * 2011-11-17 2017-12-12 联华电子股份有限公司 半导体结构及其制作工艺
US8669620B2 (en) * 2011-12-20 2014-03-11 Mika Nishisaka Semiconductor device and method of manufacturing the same
JP2013191808A (ja) 2012-03-15 2013-09-26 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
WO2013153927A1 (ja) * 2012-04-11 2013-10-17 シャープ株式会社 窒化物半導体装置
JP5960491B2 (ja) * 2012-04-27 2016-08-02 キヤノンアネルバ株式会社 半導体装置およびその製造方法
JP2013247345A (ja) 2012-05-29 2013-12-09 Ps4 Luxco S A R L 半導体装置及びその製造方法
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
CN103681276B (zh) * 2012-09-18 2016-08-31 中芯国际集成电路制造(上海)有限公司 金属栅极、mos晶体管及cmos结构分别的形成方法
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR20160067618A (ko) * 2014-12-04 2016-06-14 삼성전자주식회사 트랜지스터들을 포함하는 반도체 소자
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) * 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US20170110376A1 (en) * 2015-10-14 2017-04-20 Globalfoundries Inc. Structures with thinned dielectric material
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9768171B2 (en) 2015-12-16 2017-09-19 International Business Machines Corporation Method to form dual tin layers as pFET work metal stack
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
JP6774800B2 (ja) 2016-07-06 2020-10-28 株式会社Screenホールディングス 半導体装置の製造方法
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
KR102399497B1 (ko) * 2017-05-29 2022-05-19 에스케이하이닉스 주식회사 매립게이트구조를 구비한 반도체장치 및 그 제조 방법
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
JP7182577B2 (ja) * 2020-03-24 2022-12-02 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0778981A (ja) * 1993-09-07 1995-03-20 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP3523093B2 (ja) * 1997-11-28 2004-04-26 株式会社東芝 半導体装置およびその製造方法
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
JP2000200762A (ja) * 1998-10-26 2000-07-18 Tokyo Electron Ltd 半導体装置の製造方法及び半導体製造装置
US6555183B2 (en) * 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
JP2001102580A (ja) * 1999-09-30 2001-04-13 Nec Corp 半導体装置及びその製造方法
US6548389B2 (en) 2000-04-03 2003-04-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
JP3420743B2 (ja) * 2000-04-03 2003-06-30 松下電器産業株式会社 半導体装置及びその製造方法
KR100331861B1 (en) * 2000-07-21 2002-04-09 Hynix Semiconductor Inc Method for fabricating gate electrode of semiconductor device
TWI313059B (ja) * 2000-12-08 2009-08-01 Sony Corporatio
JP4895430B2 (ja) * 2001-03-22 2012-03-14 ルネサスエレクトロニクス株式会社 半導体装置及び半導体装置の製造方法
JP2003273350A (ja) * 2002-03-15 2003-09-26 Nec Corp 半導体装置及びその製造方法
US6614116B1 (en) * 2002-06-04 2003-09-02 Micron Technology, Inc. Buried digit line stack and process for making same
JP4143505B2 (ja) 2003-09-03 2008-09-03 株式会社半導体理工学研究センター Mos型半導体装置及びその製造方法
JP2005217309A (ja) * 2004-01-30 2005-08-11 Toshiba Corp 半導体装置及びその製造方法
US7498642B2 (en) * 2005-04-25 2009-03-03 Taiwan Semiconductor Manufacturing Company, Ltd. Profile confinement to improve transistor performance
KR100618895B1 (ko) * 2005-04-27 2006-09-01 삼성전자주식회사 폴리메탈 게이트 전극을 가지는 반도체 소자 및 그 제조방법
US20060284249A1 (en) * 2005-06-21 2006-12-21 Chien-Hao Chen Impurity co-implantation to improve transistor performance
US20070052036A1 (en) 2005-09-02 2007-03-08 Hongfa Luan Transistors and methods of manufacture thereof
JP2007080995A (ja) * 2005-09-13 2007-03-29 Toshiba Corp 半導体装置
US20100219478A1 (en) 2005-12-26 2010-09-02 Nec Corporation Mosfet, method of fabricating the same, cmosfet, and method of fabricating the same
EP1863097A1 (en) 2006-05-29 2007-12-05 Interuniversitair Microelektronica Centrum ( Imec) Method for modulating the effective work function
JP4327820B2 (ja) 2006-06-05 2009-09-09 株式会社東芝 半導体装置およびその製造方法
US7625801B2 (en) * 2006-09-19 2009-12-01 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation with a pre-amorphous implant
KR100843879B1 (ko) * 2007-03-15 2008-07-03 주식회사 하이닉스반도체 반도체 소자 및 그 제조 방법
KR100839359B1 (ko) * 2007-05-10 2008-06-19 삼성전자주식회사 피모스 트랜지스터 제조 방법 및 상보형 모스 트랜지스터제조 방법
JP2008305950A (ja) 2007-06-07 2008-12-18 Renesas Technology Corp 半導体装置の製造方法および半導体装置
JP2009033032A (ja) * 2007-07-30 2009-02-12 Sony Corp 半導体装置及び半導体装置の製造方法
US20090057678A1 (en) * 2007-08-31 2009-03-05 Matthias Goldbach Method of Forming an Integrated Circuit and Integrated Circuit
JP5178152B2 (ja) * 2007-11-05 2013-04-10 株式会社東芝 相補型半導体装置及びその製造方法
US7833906B2 (en) * 2008-12-11 2010-11-16 Asm International N.V. Titanium silicon nitride deposition
US8058134B2 (en) * 2009-02-19 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Junction profile engineering using staged thermal annealing
US8173503B2 (en) * 2009-02-23 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Fabrication of source/drain extensions with ultra-shallow junctions
US8299508B2 (en) * 2009-08-07 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS structure with multiple spacers

Also Published As

Publication number Publication date
US20120080756A1 (en) 2012-04-05
WO2011001558A1 (ja) 2011-01-06
JP2011014689A (ja) 2011-01-20
US8836039B2 (en) 2014-09-16

Similar Documents

Publication Publication Date Title
JP5285519B2 (ja) 半導体装置及びその製造方法
JP2011014689A5 (ja)
US9070749B2 (en) Semiconductor device including fluorine-free tungsten barrier layer and method for fabricating the same
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
WO2011027481A1 (ja) 半導体装置及びその製造方法
JP4647682B2 (ja) 半導体装置及びその製造方法
US20080105920A1 (en) Semiconductor devices and fabrication process thereof
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
JP5127694B2 (ja) 半導体装置及びその製造方法
JP5456150B2 (ja) 半導体装置及びその製造方法
JPWO2008047564A1 (ja) 半導体装置の製造方法及び半導体装置
US8404575B2 (en) Semiconductor device and method for manufacturing same
JP2012104735A (ja) 半導体装置及びその製造方法
JP2006024894A (ja) 高誘電率のゲート絶縁膜を有する半導体装置及びそれの製造方法
US9153586B2 (en) Semiconductor device having metal carbon nitride electrodes with different work functions
CN107919323B (zh) 半导体结构及其形成方法
CN108074801B (zh) 半导体结构的形成方法
CN108022879B (zh) 多阈值电压晶体管及其形成方法
JP5195421B2 (ja) 半導体装置
CN109285879B (zh) 半导体结构及其形成方法
JP4461839B2 (ja) 半導体装置およびその製造方法
JP2010165823A (ja) 半導体装置とその製造方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20110908

RD02 Notification of acceptance of power of attorney

Free format text: JAPANESE INTERMEDIATE CODE: A7422

Effective date: 20120214

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20121204

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130204

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20130312

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20130426

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20130521

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20130531

R150 Certificate of patent or registration of utility model

Ref document number: 5285519

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

S111 Request for change of ownership or part of ownership

Free format text: JAPANESE INTERMEDIATE CODE: R313113

R350 Written notification of registration of transfer

Free format text: JAPANESE INTERMEDIATE CODE: R350

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250