JP6218384B2 - タングステンゲート電極を備えた半導体装置の製造方法 - Google Patents

タングステンゲート電極を備えた半導体装置の製造方法 Download PDF

Info

Publication number
JP6218384B2
JP6218384B2 JP2013006888A JP2013006888A JP6218384B2 JP 6218384 B2 JP6218384 B2 JP 6218384B2 JP 2013006888 A JP2013006888 A JP 2013006888A JP 2013006888 A JP2013006888 A JP 2013006888A JP 6218384 B2 JP6218384 B2 JP 6218384B2
Authority
JP
Japan
Prior art keywords
tungsten
film
carbon
fluorine
ffwc
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2013006888A
Other languages
English (en)
Other versions
JP2014049747A (ja
Inventor
姜東均
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
SK Hynix Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SK Hynix Inc filed Critical SK Hynix Inc
Publication of JP2014049747A publication Critical patent/JP2014049747A/ja
Application granted granted Critical
Publication of JP6218384B2 publication Critical patent/JP6218384B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40114Multistep manufacturing processes for data storage electrodes the electrodes comprising a conductor-insulator-conductor-insulator-semiconductor structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

本発明は、半導体装置に関し、詳細には、タングステンゲート電極を備えた半導体装置及びその製造方法に関する。
次世代CMOS回路開発は、低電圧、低電力と共に高性能、高密度、高い信頼性が求められ、これは、CMOS回路のスケーリングによりなされる。ゲート絶縁膜の厚さスケーリングは、ダイレクトトンネリング(direct tunneling)、ゲート電極からの不純物拡散、ゲート動作特性、信頼性、寿命(lifetime)劣化などの問題を引き起こす。高いキャパシタンス確保のためには、ゲート絶縁膜の厚さを減少させなければならないが、これは、漏れ電流の増加によるゲート動作特性及び信頼性に問題を引き起こしている。
ゲート絶縁膜厚の物理的限界は、高誘電率(high−k)特性を有する新しい物質の導入を必要とする。シリコン酸化膜の代りに物理的に厚い厚さを適用できる高誘電率のゲート絶縁膜は、漏れ電流をかなり減少させてゲート動作特性及び信頼性を向上させることができる。高誘電率のゲート絶縁膜は、シリコン酸化膜より高い誘電定数値、高温熱工程でシリコンとの熱力学的安定性、そして非晶質(amorphous)相を持たなければならない。
高誘電率のゲート絶縁膜を導入する場合、ゲート電極としてN型ポリシリコン膜及びP型ポリシリコン膜は使用し難い。その理由は、不純物がドーピングされたポリシリコン膜からチャネル側への不純物トンネリングにより空乏(depletion)が発生し、これによる電流減少と界面電荷層に電荷トラップ増加によるしきい電圧の不安定な変化がある。
したがって、ゲート電極として金属膜を使用すれば、不純物の追加ドープを除去できるから、工数を減少させることができるだけでなく、ゲート空乏(gate depletion)問題を解決でき、抵抗が極めて低い金属膜の導入により高速動作を可能にすることができる。
しかし、ミッドギャップ仕事関数(mid−gap workfunction)を有する金属をPMOSとNMOSに同時適用する場合には、各々のトランジスタをターンオンするためのしきい電圧を非常に高く印加しなければならないために、低電圧、高効率装置の要求条件には符合するので、しきい電圧を低く制御して高速動作を可能にするためには、二重ゲート金属電極が必須である。
本発明の実施形態は、NMOSのしきい電圧とPMOSのしきい電圧とを独立的に調節できる半導体装置及びその製造方法を提供する。
本実施形態に係る半導体装置は、NMOS領域とPMOS領域とを含む基板と、前記NMOS領域に形成され、第1仕事関数調節物質の含有された第1タングステン含有膜を含む第1ゲート電極と、前記PMOS領域に形成され、第2仕事関数調節物質の含有された第2タングステン含有膜を含む第2ゲート電極とを含むことができる。前記第1仕事関数調節物質は、炭素を含み、前記第2仕事関数調節物質は、炭素及び窒素を含むことができる。前記第1タングステン含有膜は、炭素含有非フッ素タングステンを含み、前記第2タングステン含有膜は、炭素含有非フッ素タングステン窒化物を含むことができる。前記第1ゲート電極は、炭素含有量が10〜15at%であり、前記第2ゲート電極は、炭素含有量が5〜10at%で、窒素含有量が20〜30at%である。
本実施形態に係る半導体装置は、NMOS領域とPMOS領域とを含む基板と、前記NMOS領域に形成され、炭素含有タングステンとタングステン膜とが積層された第1ゲート電極と、前記基板のPMOS領域に形成され、炭素含有タングステン窒化物とタングステン膜とが積層された第2ゲート電極とを含むことができる。前記第1ゲート電極は、炭素含有量が10〜15at%で、前記炭素含有タングステンは、炭素含有非フッ素タングステンを含むことができる。前記第2金属ゲート電極は、炭素含有量が5〜10at%で、窒素含有量が20〜30at%であり、前記炭素含有タングステン窒化物は、炭素含有非フッ素タングステン窒化物を含むことができる。
本実施形態に係る半導体装置の製造方法は、NMOS領域とPMOS領域とを含む半導体基板の全面にゲート絶縁膜を形成するステップと、前記NMOS領域のゲート絶縁膜上に第1仕事関数調節物質の含有された第1タングステン含有膜を形成するステップと、前記PMOS領域のゲート絶縁膜上に第2仕事関数調節物質の含有された第2タングステン含有膜を形成するステップと、前記第1タングステン含有膜と第2タングステン含有膜とが形成された半導体基板に対して後熱処理を行うステップと、前記第1タングステン含有膜と第2タングステン含有膜とをエッチングして、前記NMOS領域とPMOS領域にそれぞれゲート電極を形成するステップとを含むことができる。前記第1仕事関数調節物質は、炭素を含み、前記第2仕事関数調節物質は、炭素及び窒素を含むことができる。前記第1タングステン含有膜と第2タングステン含有膜とを形成するステップは、炭素の含有された非フッ素タングステンソースを利用した原子層蒸着法で形成することができる。前記第1タングステン含有膜は、炭素含有非フッ素タングステンを含み、前記炭素含有非フッ素タングステンの炭素含有量を調節するために、水素含有物質のプラズマ処理を行うことができる。前記第2タングステン含有膜は、炭素含有非フッ素タングステン窒化物を含み、前記炭素含有非フッ素タングステン窒化物の炭素及び窒素の含有量を調節するために、窒素含有物質のプラズマ処理を行うことができる。
本実施形態に係る半導体装置の製造方法は、NMOS領域とPMOS領域とを含む半導体基板の全面にゲート絶縁膜を形成するステップと、前記NMOS領域のゲート絶縁膜上に炭素含有タングステンを形成するステップと、前記PMOS領域のゲート絶縁膜上に炭素含有タングステン窒化物を形成するステップと、前記炭素含有タングステンと炭素含有タングステン窒化物上にタングステン膜を形成するステップと、前記タングステン膜の形成された半導体基板に対して後熱処理を行うステップと、前記タングステン膜、炭素含有タングステン及び炭素含有タングステン窒化物をエッチングして、前記NMOS領域とPMOS領域にそれぞれ第1ゲート電極と第2ゲート電極とを形成するステップとを含むことができる。前記第1ゲート電極の炭素含有量が10〜15at%になるように前記炭素含有タングステンの炭素含有量を調節することができる。前記第2ゲート電極の炭素含有量及び窒素含有量がそれぞれ5〜10at%、20〜30at%になるように前記炭素含有タングステン窒化物の炭素含有量及び窒素含有量を調節することができる。
本技術は、NMOSとPMOSの各ゲート電極として仕事関数調節物質の含有されたタングステン含有膜を利用することによって、各々のトランジスタに適した仕事関数を有し、同時に低抵抗の二重金属ゲート電極を形成できる効果がある。
また、本技術は、非フッ素タングステンを利用してタングステン含有ゲート電極を形成することによって、ゲート絶縁膜との界面特性に優れており、ポリシリコン空乏率(Polysilicon Depletion Ratio;PDR)、フェルミレベルピンニング(Fermi level pinning)現象及び抵抗特性を改善できる。これにより、高速動作が可能なトランジスタを形成できる。
また、本技術は、低電圧、低電力具現が可能なようにしきい電圧を調節するために、炭素含有タングステンと炭素含有タングステン窒化物をそれぞれのトランジスタに適した仕事関数を有する二重金属ゲート電極として使用することによって、優れたメモリ動作特性を確保することができる。
本実施形態に係るゲート構造物の断面図である。 本実施形態に係る第1タングステン含有ゲート電極の形成方法を示す図である。 本実施形態に係る第2タングステン含有ゲート電極の形成方法を示す図である。 タングステン含有膜W/FFWCに対する後熱処理後の相転移を説明するための図である。 炭素含有非フッ素タングステン窒化物FFWNCに対する後熱処理後の相転移を説明するための図である。 W/FFWCに対する後熱処理後の結晶粒のサイズを説明するための図である。 W/FFWNCに対する後熱処理後の結晶粒のサイズを説明するための図である。 炭素含有非フッ素タングステンFFWCのフッ素拡散バリアの機能を説明するための図である。 ゲート電極として用いられる材料の種類に応じるC−V特性を説明するための図である。 ゲート電極の材料として用いられる物質の比抵抗を比較した図である。 本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。 本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。 本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。 本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。 本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。 本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。
以下、本発明の属する技術分野における通常の知識を有した者が本発明の技術的思想を容易に実施できる程度に詳細に説明するために、本発明の最も好ましい実施形態を添付図面を参照して説明する。
図1は、本実施形態に係るゲート構造物の断面図である。
図1に示すように、半導体基板21は、第1領域NMOSと第2領域PMOSとを有し、第1領域NMOSと第2領域PMOSとを分離するための素子分離領域22を有する。素子分離領域22は、トレンチ構造であって、STI(Shallow Trench Isolation)工程により形成できる。半導体基板21上には、通常のシリコン酸化物に比べて高い誘電定数を有する高誘電膜を利用してゲート絶縁膜23が形成される。
第1領域NMOSのゲート絶縁膜23上に第1タングステン含有ゲート電極201が形成される。第1タングステン含有ゲート電極201は、第1タングステン含有膜パターン24Nと第3タングステン含有膜パターン27Nとを含む。第1タングステン含有膜パターン24Nは、仕事関数調節物質(Workfunction control materials)が含有されている。仕事関数調節物質は、炭素(Carbon)を含むことができる。第1タングステン含有膜パターン24Nは、炭素含有タングステン(W1−x)を含むことができる。第1タングステン含有膜パターン24Nは、20〜30Åの厚さを有するように形成できる。炭素含有タングステンは、4.5eV以下(4.2eV〜4.5eV)の低い仕事関数を有する。このような低い仕事関数は、炭素含有タングステンに含まれた炭素の含有量(x)により得られる。炭素の含有量(x)を調節するために、炭素を含有する非フッ素タングステンソース及び水素プラズマ処理を使用することができる。炭素の含有量(x)は、10〜15at%(atom percent)を含むことができる。後述するが、炭素の含有量(x)は、焼きなまし(anneal)が実施された後の含有量である。
第2領域PMOSのゲート絶縁膜23上に第2タングステン含有ゲート電極202が形成される。第2タングステン含有ゲート電極202は、第2タングステン含有膜パターン26Pと第3タングステン含有膜パターン27Pとを有する。第2タングステン含有膜パターン26Pは、仕事関数調節のための物質を含有できる。仕事関数調節物質は、炭素及び窒素を含むことができる。例えば、第2タングステン含有膜パターン26Pは、炭素と窒素を含有するタングステン(W1−x−y)を含むことができる。炭素と窒素を含有するタングステン(W1−x−y)は、炭素含有タングステン窒化物になることができる。第2タングステン含有膜パターン26Pは、20〜30Åの厚さを有するように形成できる。炭素含有タングステン窒化物は、5.2eV以下(4.9eV〜5.2eV)の高い仕事関数を有する。このような高い仕事関数は、炭素含有タングステンに含まれた炭素の含有量(y)及び窒素の含有量(x)により得られる。炭素含有タングステン窒化物に含まれる炭素の含有量(y)と窒素の含有量(x)を調節するために、炭素を含有する非フッ素タングステンソース及びNHプラズマ処理を使用することができる。炭素の含有量(y)は、5〜10at%を含むことができる。窒素の含有量(x)は、20〜30at%を含むことができる。後述するが、炭素の含有量(y)と窒素の含有量(x)は、焼きなましが実施された後の含有量である。
第3タングステン含有膜パターン27N、27Pは、タングステン(Tungsten;W)を含む。タングステンは、タングステンバルク膜(Bulk W)を含むか、又はタングステン核生成膜(Nucleation W)とタングステンバルク膜とが積層されるとよい。タングステン核生成膜は、10〜20Åの厚さを有するように形成できる。低い比抵抗を得るためにタングステンバルク膜は、アルファタングステン相(α−W)を有するとよい。タングステンバルク膜は、BCC(Body Center Cubic)構造のアルファタングステン相(α−W)を有する。
図1において第1タングステン含有膜パターン24Nと第2タングステン含有膜パターン26Pとは、拡散バリアの役割を果たすことができる。第1タングステン含有膜パターン24Nは、炭素含有タングステンを含み、第2タングステン含有膜パターン26Pは、炭素含有タングステン窒化物を含むとよい。炭素含有タングステンは、膜内フッ素がない炭素含有非フッ素タングステン(Carbon containing Fluorine Free Tungsten;FFWC)を含むことができる。炭素含有タングステン窒化物は、膜内フッ素がない炭素含有非フッ素タングステン窒化物(Carbon containing Fluorine Free Tungsten Nitride;FFWNC)を含むことができる。
したがって、第2タングステン含有ゲート電極202は、炭素含有非フッ素タングステン窒化物FFWNC、タングステン核生成膜及びタングステンバルク膜が積層された構造W/FFWNCになることができる。また、第2タングステン含有ゲート電極202は、炭素含有非フッ素タングステン窒化物FFWNCとタングステンバルク膜とが積層された構造W/FFWNCになることができる。第1タングステン含有ゲート電極201は、炭素含有非フッ素タングステンFFWC、タングステン核生成膜及びタングステンバルク膜が積層された構造W/FFWCになるとよい。第1タングステン含有ゲート電極201は、炭素含有非フッ素タングステンFFWCとタングステンバルク膜とが積層された構造W/FFWCになることができる。
図1によれば、NMOSは、第1タングステン含有ゲート電極201を含み、PMOSは、第2タングステン含有ゲート電極202を含む。第1タングステン含有ゲート電極201は、NMOSに適した仕事関数を有する第1タングステン含有膜パターン24Nを含む。第2タングステン含有ゲート電極202は、PMOSに適した仕事関数を有する第2タングステン含有膜パターン26Pを含む。
したがって、本実施形態は、NMOSのしきい電圧とPMOSのしきい電圧をそれおれ独立的に調節できる。
なお、本実施形態は、炭素含有非フッ素タングステンFFWC及び炭素含有非フッ素タングステン窒化物FFWNCを利用してタングステン含有ゲート電極を形成することによって、ゲート絶縁膜23との界面特性に優れている。また、ポリシリコンやチタン窒化物を使用しないので、ポリシリコン空乏率(Polysilicon Depletion Ratio;PDR)、フェルミレベルピンニング(Fermi level pinning)現象及び抵抗特性を改善できる。これにより、高速動作が可能なトランジスタを形成できる。
図2は、本実施形態に係る第1タングステン含有ゲート電極の形成方法を示す図である。以下、第1タングステン含有ゲート電極は、炭素含有非フッ素タングステンFFWC、タングステン核生成膜及びタングステンバルク膜が積層された「タングステン含有膜W/FFWC」とする。
図2に示すように、タングステン含有膜W/FFWCの形成方法は、炭素含有非フッ素タングステンFFWCの形成ステップ(101)、タングステン核生成膜の形成ステップ(102)、タングステンバルク膜の形成ステップ(103)及び後熱処理ステップ(104)を含む。
炭素含有非フッ素タングステンFFWCの形成ステップ(101)
炭素含有非フッ素タングステンFFWCは、原子層蒸着法(ALD)を利用して形成できる。原子層蒸着法ALDは、金属有機タングステンソース(Metal organic tungsten source)を利用できる。原子層蒸着法ALDは、非フッ素タングステンソースの注入ステップ(S11)、パージステップ(S12)、反応剤注入ステップ(S13)、パージステップ(S14)を単位サイクルにし、この単位サイクルを数回繰り返して(S15)要求される厚さの炭素含有非フッ素タングステンFFWCを蒸着できる。原子層蒸着法は、150〜320℃の温度で250Wのパワーで行うことができる。
非フッ素タングステンソースの注入ステップ(S11)は、金属有機系の非フッ素タングステンソース(Fluorine Free tungsten source;FFWS)を基板上に吸着させる。ここで、基板は、シリコンのような半導体工程に適した任意物質から形成されることができ、誘電体または導電性物質のような層を上部に具備できる。基板の表面は、炭素含有非フッ素タングステンFFWCの蒸着が実施される任意基板または基板上に形成された物質表面のことをいう。例えば、基板の表面は、用途に応じてシリコン、シリコン酸化物、高誘電物質、シリコン窒化物、ドープされたシリコン、金属、金属窒化物及びその他導電性物質のような物質を含むことができる。
非フッ素タングステンソースFFWSは、金属有機タングステンソース(Metal organic tungsten source)を適用できる。非フッ素タングステンソースFFWSは、フッ素が含有されない金属有機タングステンソースを含むことができる。非フッ素タングステンソースFFWSは、タングステンと炭素(Carbon)を含有する化合物を含むことができる。また、非フッ素タングステンソースFFWSは、タングステン、炭素及び窒素を含有する化合物を含むことができる。例えば、非フッ素タングステンソースFFWSは、CNOW(Dicarbonyl(η5−Methyl−Cyclopentadienyl)Nitrosyl Tungsten)、C1230W(Bis(tert−Butylimino)Bis(Dimethylamino)Tungsten)を含むことができる。上述のような非フッ素タングステンソースFFWSを利用して蒸着される炭素含有非フッ素タングステンFFWCは、炭素の含有量により比抵抗が低くなり、バリア(Barrier)の機能を果たすことができる。したがって、炭素の含有量が40at%(atom percent)以下になるように非フッ素タングステンソースFFWSの流量が制御されうる。
次に、吸着されない非フッ素タングステンソースを除去するために、パージステップ(S12)を行う。パージステップは、アルゴンなどの不活性ガスを供給して行うことができる。
次に、反応剤注入ステップ(S13)は、吸着された非フッ素タングステンソースFFWSと反応して、原子層単位の炭素含有非フッ素タングステンFFWCを蒸着する工程である。ここで、反応剤は、還元剤または還元ガスを含むことができる。反応剤は、水素(Hydrogen)を含有する物質を含むことができる。反応剤注入ステップ(S13)は、水素含有物質のプラズマ処理を含むことができる。反応剤注入ステップ(S13)として水素プラズマ処理(H Plasma treatment)を含むことができる。このように水素プラズマ処理を行うことによって、非フッ素タングステンソースと水素との反応により炭素含有非フッ素タングステンFFWCが蒸着される。水素プラズマ処理により蒸着される炭素含有非フッ素タングステンFFWCの炭素含有量を40at%以下に制御できる。炭素の含有量を制御するために、水素プラズマ処理の条件(例;250Wのパワー)を調節できる。水素プラズマ処理により炭素含有非フッ素タングステンFFWCに含まれている不純物を除去することもできる。
次に、未反応反応剤及び反応副産物を除去するために、パージステップ(S14)を行う。パージステップは、アルゴンなどの不活性ガスを供給して行うことができる。
上述したような非フッ素タングステンソースの注入ステップ(S11)、パージステップ(S12)、反応剤注入ステップ(S13)、パージステップ(S14)を単位サイクルにし、単位サイクルを数回繰り返し(S15)して所望の厚さの炭素含有非フッ素タングステンFFWCを蒸着できる。炭素含有非フッ素タングステンFFWCは、20〜30Åの厚さを有するように形成できる。原子層蒸着法ALDを利用するによって段差被覆性(Step coverage)に優れている。炭素含有非フッ素タングステンFFWCに含まれた炭素の含有量は、25〜35at%になることができる。このような炭素の含有量は、非フッ素タングステンソースの流量及び水素プラズマ処理により得られる。
炭素含有非フッ素タングステンFFWCは、フッ素が存在しない金属有機タングステンソースを使用して形成する。これにより、膜内にフッ素が含有されないから下部の基板の表面に対する劣化が発生しない。また、水素プラズマ処理により膜内炭素の含有量を制御できる。特に、炭素の含有量を40at%以下に制御することによって比抵抗を低くし、かつバリアの機能を有する。
タングステン核生成膜の形成ステップ(102)
炭素含有非フッ素タングステンFFWC上にタングステン核生成膜を形成する。タングステン核生成膜は、原子層蒸着法ALDまたは化学気相蒸着法(CVD)を利用して形成できる。原子層蒸着法を利用する場合、炭素含有非フッ素タングステンFFWCと同じチャンバーで行うことができる。
タングステン核生成膜は、タングステンソースとして六フッ化タングステン(WF)を使用することができ、浸漬ガス(soaking gas)としてジボラン(B)を使用することができる。六フッ化タングステン(WF)とジボラン(B)をそれぞれ5〜6回程度注入及びパージして形成できる。浸漬ガスとしてジボラン(B)を使用することによって、タングステン核生成膜は非晶相を有する。これにより、タングステン核生成膜は、大きな結晶粒を有し比抵抗が低い。タングステン核生成膜は、20Å以内の薄い厚さを有するように形成する。ジボラン(B)の流量は、300sccm以上にし、工程温度を350℃以下にする。非晶相のタングステン核生成膜により後続のタングステンバルク膜の結晶粒を大きく形成できる。タングステン核生成膜は、タングステンバルク膜に対する成長サイト(site)として作用する薄いタングステン層である。
タングステンバルク膜の形成ステップ(103)
タングステン核生成膜上にタングステンバルク膜を形成する。タングステンバルク膜の場合は、六フッ化タングステン(WF)とHとを利用して形成できる。このとき、タングステン核生成膜の比抵抗は、タングステンバルク膜より極めて高いために低い比抵抗を得るためにはタングステン核生成膜をできる限り省略することが好ましいが、この場合にタングステンバルク膜を直に蒸着することによりシート抵抗均一度が劣化するので、タングステン核生成膜を可能な限り薄く形成する。タングステンバルク膜は、低い比抵抗のBCC(Body Centered Cubic)構造のアルファタングステン相(α−W phase)を有するよう工程温度を400℃以上で蒸着できる。タングステンバルク膜は、化学気相蒸着法または原子層蒸着法を利用して形成できる。
上述したことによれば、炭素含有非フッ素タングステンFFWC、タングステン核生成膜及びタングステンバルク膜を含む積層構造のタングステン含有膜になることができる。炭素含有非フッ素タングステンFFWCがバリアで、タングステン核生成膜とタングステンバルク膜が電極であると仮定すると、タングステン含有膜は、「W/FFWC」の積層構造になることができる。炭素含有非フッ素タングステンFFWCは、フッ素が含有されておらず、タングステン核生成膜とタングステンバルク膜とは、フッ素が含有されなくてもよく、またはフッ素が微量含有されてもよい。タングステン核生成膜とタングステンバルク膜とにフッ素が微量含有されていても、炭素含有非フッ素タングステンFFWCがバリアとして機能するので、フッ素が基板に広がるのを防止できる。
一方、タングステン核生成膜とタングステンバルク膜の形成時にタングステンソースとして、CNOW、C1230Wの非フッ素タングステンソースを使用してもよい。しかし、非フッ素タングステンソースを利用すれば、膜内に炭素を含有するから、比抵抗の側面で不利である。
後熱処理ステップ(104)
炭素含有非フッ素タングステンFFWC、タングステン核生成膜及びタングステンバルク膜を含むタングステン含有膜W/FFWCを形成した後比抵抗を減少するために後熱処理(Post Anneal,Post−ANL)を行う。後熱処理は、急速熱処理RTPを含むことができる。後熱処理は、約1時間の間に行われることができる。後熱処理は、タングステン含有膜W/FFWCの酸化を防止するために窒素(N)雰囲気で行うことができる。後熱処理は、800℃の温度で行うことができる。
タングステン含有膜W/FFWCは、後熱処理により熱エネルギーを駆動力として結晶粒が大きくなり、低い配位数(coordination number)を有するように相が変化し、炭素濃度が減少するに伴い比抵抗が減少する。WC相とベータタングステン(β−W)相の小さな結晶粒は、後熱処理(S38)によりアルファタングステン(α−W)相の非常に大きな結晶粒に変化される。
例えば、後熱処理によりタングステン含有膜W/FFWCの炭素濃度が20at%以下(10〜15at%)に減少する。結晶粒のサイズが10倍以上大きくなる。結局、後熱処理が行われたタングステン含有膜W/FFWCは、炭素濃度が減少して大きな結晶粒を有するようになり、蒸着後より比抵抗(Resistivity)が約80%以上減少する。
図3は、本実施形態に係る第2タングステン含有ゲート電極の形成方法を示す図である。以下、第2タングステン含有ゲート電極は、炭素含有非フッ素タングステン窒化物FFWNC、タングステン核生成膜及びタングステンバルク膜が積層された「タングステン含有膜W/FFWNC」とする。
図3に示すように、タングステン含有膜W/FFWNCの形成方法は、炭素含有非フッ素タングステン窒化物FFWNCの形成ステップ(201)、タングステン核生成膜の形成ステップ(202)、タングステンバルク膜の形成ステップ(203)及び後熱処理ステップ(204)を含む。
炭素含有非フッ素タングステン窒化物FFWNCの形成ステップ(201)
炭素含有非フッ素タングステン窒化物FFWNCは、原子層蒸着法(ALD)を利用して形成できる。原子層蒸着法(ALD)は、金属有機タングステンソース(Metal organic tungsten source)を利用できる。原子層蒸着法ALDは、非フッ素タングステンソースの注入ステップ(S21)、パージステップ(S22)、反応剤注入ステップ(S23)、パージステップ(S24)を単位サイクルにし、この単位サイクルを数回繰り返して(S25)要求される厚さの炭素含有非フッ素タングステン窒化物FFWNCを蒸着できる。原子層蒸着法は、150〜320℃の温度で250Wのパワーで行うことができる。
非フッ素タングステンソースの注入ステップ(S11)は、金属有機系の非フッ素タングステンソースFFWSを基板上に吸着させる。ここで、基板は、シリコンのような半導体工程に適した任意物質から形成されることができ、誘電体または導電性物質のような層を上部に具備できる。基板の表面は、炭素含有非フッ素タングステン窒化物FFWNCの蒸着が行われる任意基板または基板上に形成された物質表面を指し示す。例えば、基板の表面は、用途に応じてシリコン、シリコン酸化物、高誘電物質、シリコン窒化物、ドープされたシリコン、金属、金属窒化物及びその他導電性物質のような物質を含むことができる。
非フッ素タングステンソースFFWSは、金属有機タングステンソースを適用できる。非フッ素タングステンソースFFWSは、フッ素が含有されない金属有機タングステンソースを含むことができる。非フッ素タングステンソースFFWSは、タングステン及び炭素を含有する化合物を含むことができる。また、非フッ素タングステンソースFFWSは、タングステン、炭素及び窒素を含有する化合物を含むことができる。例えば、非フッ素タングステンソースFFWSは、CNOW、C1230Wを含むことができる。上記のような非フッ素タングステンソースFFWSを利用して蒸着される炭素含有非フッ素タングステン窒化物FFWNCは、炭素の含有量により比抵抗が低くなり、バリアとして機能できる。したがって、炭素の含有量が40at%(atom percent)以下になるように非フッ素タングステンソースFFWSの流量が制御されることができる。
次に、吸着されない非フッ素タングステンソースを除去するためにパージステップ(S22)を行う。パージステップは、アルゴンなどの不活性ガスを供給して行うことができる。
次に、反応剤注入ステップ(S23)は、吸着された非フッ素タングステンソースFFWSと反応して原子層単位の炭素含有非フッ素タングステン窒化物FFWNCを蒸着する工程である。ここで、反応剤は、還元剤または還元ガスを含むことができる。反応剤は、水素(Hydrogen)を含有する物質を含むことができる。反応剤注入ステップ(S23)は、水素含有物質のプラズマ処理を含むことができる。反応剤注入ステップ(S23)としてNHプラズマ処理(NH Plasma treatment)を含むことができる。このようにNHプラズマ処理を行うことによって、炭素含有非フッ素タングステン窒化物FFWNCが蒸着される。NHプラズマ処理により蒸着される炭素含有非フッ素タングステン窒化物FFWNCの炭素及び窒素の含有量を制御できる。炭素及び窒素の含有量を制御するために、プラズマ処理の条件(例、250Wのパワー)を調節できる。NHプラズマ処理により炭素含有非フッ素タングステン窒化物FFWNCに含まれている不純物を除去することもできる。結局、NHプラズマ処理条件を制御することによって仕事関数を調節できる。
次に、未反応反応剤及び反応副産物を除去するためにパージステップ(S24)を行う。パージステップは、アルゴンなどの不活性ガスを供給して行うことができる。
上述したような非フッ素タングステンソースの注入ステップ(S21)、パージステップ(S22)、反応剤注入ステップ(S23)、パージステップ(S24)を単位サイクルにし、単位サイクルを数回繰り返し(S25)して所望の厚さの炭素含有非フッ素タングステン窒化物FFWNCを蒸着できる。炭素含有非フッ素タングステン窒化物FFWNCは、20〜30Åの厚さを有するように形成できる。原子層蒸着法ALDを利用するから、段差被覆性に優れている。
炭素含有非フッ素タングステン窒化物FFWNCは、フッ素が存在しない金属有機タングステンソースを使用して形成する。これにより、膜内にフッ素が含有されなくなって、下部の基板の表面に対する劣化が発生しない。また、NHプラズマ処理により膜内炭素の含有量を制御できる。特に、炭素含有非フッ素タングステン窒化物FFWNCの炭素の含有量を40at%以下に制御することによって、比抵抗を低くし、かつバリアの機能を果たす。
タングステン核生成膜の形成ステップ(202)
炭素含有非フッ素タングステン窒化物FFWNC上にタングステン核生成膜を形成する。タングステン核生成膜は、原子層蒸着法ALDまたは化学気相蒸着法(CVD)を利用して形成できる。原子層蒸着法を利用する場合、炭素含有非フッ素タングステン窒化物FFWNCと同じチャンバーで行うことができる。
タングステン核生成膜は、タングステンソースとして六フッ化タングステン(WF)を使用することができ、浸漬ガスとしてジボラン(B)を使用することができる。六フッ化タングステン(WF)とジボラン(B)をそれぞれ5〜6回程度注入及びパージして形成できる。浸漬ガスとしてジボラン(B)を使用することによって、タングステン核生成膜は非晶相を有する。これにより、タングステン核生成膜は、大きな結晶粒を有し比抵抗が低い。タングステン核生成膜は、20Å以内の薄い厚さを有するように形成する。ジボラン(B)の流量は、300sccm以上にし、工程温度を350℃以下にする。非晶相のタングステン核生成膜により後続のタングステンバルク膜の結晶粒を大きく形成できる。タングステン核生成膜は、タングステンバルク膜に対する成長サイトとして作用する薄いタングステン層である。
タングステンバルク膜の形成ステップ(203)
タングステン核生成膜上にタングステンバルク膜を形成する。タングステンバルク膜の場合は、六フッ化タングステン(WF)とHを利用して形成できる。このとき、タングステン核生成膜の比抵抗は、タングステンバルク膜より極めて高いために、低い比抵抗を得るためには、タングステン核生成膜をできる限り省略することが好ましいが、この場合、タングステンバルク膜を直に蒸着するにつれてシート抵抗均一度が劣化するので、タングステン核生成膜を可能な限り薄く形成する。タングステンバルク膜は、低い比抵抗のBCC(Body Centered Cubic)構造のアルファタングステン相(α−W phase)を有するよう工程温度を400℃以上で蒸着できる。タングステンバルク膜は、化学気相蒸着法または原子層蒸着法を利用して形成できる。
上述したことによれば、炭素含有非フッ素タングステン窒化物FFWNC、タングステン核生成膜及びタングステンバルク膜を含む積層構造のタングステン含有膜になることができる。炭素含有非フッ素タングステン窒化物FFWNCがバリアで、タングステン核生成膜とタングステンバルク膜とが電極であると仮定すれば、タングステン含有膜は、「W/FFWNC」の積層構造になることができる。炭素含有非フッ素タングステ窒化物FFWNCは、フッ素が含有されておらず、タングステン核生成膜とタングステンバルク膜とは、フッ素が含有されていないか、又はフッ素が微量含有されることができる。タングステン核生成膜とタングステンバルク膜とにフッ素が微量含有されていても、炭素含有非フッ素タングステン窒化物FFWNCがバリアの役割を果たすので、フッ素が基板に広がるのを防止できる。
一方、タングステン核生成膜とタングステンバルク膜を形成する際に、タングステンソースとしてCNOW、C1230Wの非フッ素タングステンソースを使用することもできる。しかしながら、非フッ素タングステンソースを利用すれば、膜内に炭素を含有するから、比抵抗の側面で不利である。
後熱処理ステップ(204)
炭素含有非フッ素タングステン窒化物FFWNC、タングステン核生成膜及びタングステンバルク膜を含むタングステン含有膜W/FFWNCを形成した後に比抵抗を減少するために、後熱処理(Post Anneal,Post−ANL)を行う。後熱処理は、急速熱処理RTPを含むことができる。後熱処理は、約1時間の間に行うことができる。後熱処理は、タングステン含有膜W/FFWNCの酸化を防止するために、窒素(N)雰囲気で行うことができる。後熱処理は、800℃の温度で行うことができる。
タングステン含有膜W/FFWNCは、後熱処理により熱エネルギーを駆動力として利用して結晶粒が大きくなり、低い配位数を有するように相が変化し、炭素濃度が減少し、かつ比抵抗が減少する。後熱処理によりアルファタングステン(α−W)相の極めて大きな結晶粒に変化する。
例えば、後熱処理によりタングステン含有膜W/FFWNCの炭素濃度が10at%以下(5〜10at%)に減少する。窒素の含有量は、20〜30at%になる。結晶粒のサイズが10倍以上大きくなる。結局、後熱処理が行われたタングステン含有膜W/FFWNCは、炭素濃度が減少し大きな結晶粒を有するようになって、蒸着後より比抵抗(Resistivity)が約80%以上減少する。
下記の表1は、炭素含有量に応じるタングステン含有膜(W/FFWC、W/FFWNC)の比抵抗変化を説明するための表である。タングステン含有膜(W/FFWC、W/FFWNC)においてFFWCとFFWNCとは、それぞれ20Åの厚さで、Wは、40Åの厚さである。
Figure 0006218384
表1に示すように、タングステン含有膜W/FFWCは、蒸着後の比抵抗が約143.4μohm−cmであったが、後熱処理を行うことによって27.1μohm−cmに減少することが分かる。
タングステン含有膜W/FFWNCは、蒸着後の比抵抗が約243.2μohm−cmであったが、後熱処理を行うことによって51.3μohm−cmに減少することが分かる。
以上のように、タングステン含有膜(W/FFWC、W/FFWNC)は、後熱処理により蒸着後より比抵抗が80%以上著しく減少する。
下記の表2は、炭素含有量に応じるタングステン含有膜の仕事関数変化を説明するための表である。タングステン含有膜として第1試料[W/FFWC(40Å/20Å)]、第2試料[W/FFWC(40Å/20Å)+ANL]、第3試料[W/FFWC(30Å/30Å)]、第4試料[W/FFWC(30Å/30Å)+ANL]、第5試料(W/FFWNC1+ANL)、第6試料(W/FFWNC2+ANL)を用意した。第1試料は、W/FFWC(40Å/20Å)の蒸着後の結果で、第2試料(W/FFWC+ANL)は、W/FFWC(40Å/20Å)に対して後熱処理ANLを行った結果である。第3試料は、W/FFWC(30Å/30Å)の蒸着後の結果で、第4試料(W/FFWC+ANL)は、W/FFWC(30Å/30Å)に対して後熱処理ANLを行った結果である。第5試料(W/FFWNC1+ANL)は、後熱処理後炭素含有量が大きな場合で、第6試料(W/FFWNC2+ANL)は、後熱処理後炭素含有量が小さな場合である。
Figure 0006218384
表2によれば、炭素含有非フッ素タングステンFFWCを含むタングステン含有膜W/FFWCは、後熱処理を行うことによって炭素含有量が10〜15at%に低く維持され、これにより4.2eV〜4.5eVの低い仕事関数を有する。
そして、炭素含有非フッ素タングステン窒化物FFWNCを含むタングステン含有膜W/FFWNCは、後熱処理を行うことによって炭素の含有量が低くなる。例えば、蒸着後炭素含有量が40at%である場合に後熱処理後に20at%に低くなる。また、蒸着後炭素含有量が20at%である場合に後熱処理後に5〜10at%に低くなる。
炭素含有非フッ素タングステン窒化物FFWNCを含むタングステン含有膜W/FFWNCは、炭素の含有量に応じて仕事関数が変化することが分かる。例えば、後熱処理後に20at%の大きな炭素の含有量を有すると、仕事関数が4.92eVで、後熱処理後に5〜10at%の小さな炭素の含有量を有すると、仕事関数が5.01eVである。炭素含有非フッ素タングステン窒化物FFWNCの仕事関数は、炭素含有量はもちろんのこと、窒素含有量にも依存できる。表2の仕事関数を得るための窒素含有量は、20〜30at%である。
表2の結果から分かるように、炭素含有非フッ素タングステンFFWCを含むタングステン含有膜W/FFWCは、水素プラズマ処理の条件及び後熱処理により炭素含有量を制御することによって、NMOSに適した仕事関数を得ることができる。
炭素含有非フッ素タングステンFFWCを含むタングステン含有膜W/FFWCは、NHプラズマ処理の条件及び後熱処理により炭素含有量を制御することによって、PMOSに適した仕事関数を得ることができる。
図4は、タングステン含有膜W/FFWCに対する後熱処理後の相転移を説明するための図である。図4の結果は、W/FFWC(40Å/20Å)、W/FFWC(40Å/30Å)に対する結果である。
図4に示すように、蒸着後(As−dep)の結晶相がベータ相(β−W)であるが、後熱処理(Post−ANL)を行うことによってアルファ相(α−W)に相転移することが分かる。FFWCの厚さが30Åである場合には、後熱処理後にWC相が弱く現れることができるが、W/FFWC(40Å/30Å)の場合にもアルファ相(α−W)が強く現れるので、結晶粒が大きくなることが分かる。
図5は、炭素含有非フッ素タングステン窒化物FFWNCに対する後熱処理後の相転移を説明するための図である。図5の結果は、FFWNC(15at%)、FFWNC(30at%)に対する結果である。15at%と30at%は、炭素の含有量である。
図5に示すように、後熱処理(Post−ANL)を行うことによってアルファ相(α−W)に相転移することが分かる。WC相、WN相、W2N相はほぼ観測されない。
炭素の含有量が30at%である場合には、アルファ相(α−W)が観測されないが、炭素の含有量が15%である場合には、アルファ相(α−W)が強く観測されている。
図6は、W/FFWCに対する後熱処理後の結晶粒のサイズを説明するための図であって、後熱処理(Post−ANL)を行うことによって、蒸着後(As−dep)より結晶粒のサイズが増加していることが分かる。
図7は、W/FFWNCに対する後熱処理後の結晶粒のサイズを説明するための図であって、後熱処理(Post−ANL)を行うことによって、蒸着後(As−dep)より結晶粒のサイズが増加していることが分かる。炭素の含有量が40at%と20at%である場合に両方とも結晶粒のサイズが増加している。一方、炭素の含有量が20at%で小さな場合に結晶粒のサイズがさらに増加することが分かる。
図8は、炭素含有非フッ素タングステンFFWCのフッ素拡散バリアの機能を説明するための図である。
図8の結果は、炭素含有非フッ素タングステンFFWCをバリアとして利用するW/FFWCとチタン窒化物TiNをバリアとして利用するW/TiNに対しフッ素拡散バリアの機能を比較している。非フッ素タングステン層(FFW)とチタン窒化物(TiN)は、それぞれ30Åの厚さで、タングステン層は、30Åの厚さである。
図8に示すように、W/FFWCがW/TiNよりフッ素(F)のピークがさらに減少していることが分かり、これは、チタン窒化物TiNより炭素含有非フッ素タングステンFFWCがフッ素拡散を防止する効果がさらに大きいことを意味する。
以上のようなフッ素拡散防止効果は、W/FFWNCでも得ることができ、W/FFWNCがW/TiNより拡散防止効果がさらに大きい。
図9は、ゲート電極として用いられる材料の種類に応じるC−V特性を説明するための図である。図9の結果は、W/FFWC、W/TiN、W/FFWNCを比較している。W/FFWC、W/TiN、W/FFWNCとも、後熱処理ANLが行われた。
図9に示すように、炭素含有非フッ素タングステンFFWCを使用した場合にTiNに比べて同等水準及び(−)方向にしきい電圧(Vt)を変化させることが分かる。
そして、炭素含有非フッ素タングステン窒化物FFWNCを使用した場合に、TiNに比べて同等水準及び(+)方向にしきい電圧(Vt)を変化させることが分かる。
図10は、ゲート電極の材料として用いられる物質の比抵抗を比較した図である。
図10は、チタン窒化物とタングステンとが積層された第1試料W/TiN、タングステン窒化物とタングステンとが積層された第2試料W/WN、炭素含有非フッ素タングステンFFWCとタングステンとが積層された第3試料W/FFWCに対して抵抗を比較した図である。第2試料W/WNは、タングステンの形成前に焼きなましRTPを行い、第3試料は、炭素含有非フッ素タングステンとタングステンとを形成した後に焼きなましANLを行った。第1試料ないし第3試料は、タングステンがゲート電極として使用され、タングステンの拡散バリアとしてそれぞれチタン窒化物TiN、タングステン窒化物WN、炭素含有非フッ素タングステンFFWCを使用している。
図10に示すように、炭素含有非フッ素タングステンFFWCを拡散バリアとして利用する第3試料W/FFWCの比抵抗が残りの第1試料W/TiN及び第2試料W/WNより著しく減少することが分かる。
例えば、第2試料と第3試料がそれぞれ60Åの厚さを有すると仮定すれば、第2試料の比抵抗は、約100μohm−cmであるが、第3試料の比抵抗は、約40μohm−cmで著しく低い。第1試料は、約240μohm−cmで比抵抗が極めて大きい。
炭素含有非フッ素タングステン窒化物FFWNCを拡散バリアとして利用するW/FFWNCは、表2から分かるように、第1試料W/TiN及び第2試料W/WNより比抵抗が著しく減少する。
このように、本実施形態に係る炭素含有非フッ素タングステンFFWC及び炭素含有非フッ素タングステン窒化物FFWNCを拡散バリアとして利用することによって、タングステン窒化物及びチタン窒化物をバリアとして利用するタングステンゲート電極より低い比抵抗を有するタングステンゲート電極を形成できる。
図11A乃至図11Fは、本実施形態に係るゲート構造物の形成方法を説明するための一例を示す図である。以下、実施形態は、CMOS回路の製造方法を説明するようにする。本発明は、CMOS回路に限定されない。NMOSとPMOSが形成されるすべての半導体装置の製造方法に適用可能である。また、各々NMOS製造方法及びPMOS製造方法にも適用可能である。NMOSとPMOSとは、CMOS回路内に形成される。CMOS回路は、少なくとも一つのPMOS及びNMOSを備える。
図11Aに示すように、半導体基板21は、第1領域NMOSと第2領域PMOSとを有し、第1領域NMOSと第2領域PMOSとを分離するための素子分離領域22を有する。素子分離領域22は、トレンチ構造であって、STI(Shallow Trench Isolation)工程により形成できる。素子分離領域22は、絶縁膜(例:シリコン酸化膜)を含むことができる。第1領域NMOSは、NMOSが形成される領域で、第2領域PMOSは、PMOSが形成される領域である。第1領域NMOSと第2領域PMOSの位置は、説明の便宜のためのものであって、互いに変わることができる。半導体基板21は、シリコン(silicon)、ゲルマニウム(germanium)、シリコンゲルマニウム(silicon germanium)からなるものなどを含むことができるが、このようなものに限定されることではない。また、半導体基板21の全体または一部分は、変形(strain)されうる。素子分離領域22は、絶縁膜(例:シリコン酸化膜)を含むことができる。そして、図示していないが、第1領域NMOSと第2領域PMOSには、それぞれ通常のウェル形成工程により第1ウェルと第2ウェルとが形成されることができる。第1領域NMOSには、P型の第1ウェルを形成し、第2領域PMOSには、N型の第2ウェルを形成できる。N型の第2ウェルを形成するためにリン(P)または砒素(As)のようなN型不純物を基板101の第2領域PMOSに注入できる。P型の第1ウェルを形成するために、ホウ素(B)のようなP型不純物を基板101の第1領域NMOSに注入できる。また、図示していないが、ウェル形成工程以後に第1領域NMOSと第2領域PMOSには、それぞれ通常のチャネルイオン注入工程によりチャネル領域が形成されることができる。
次に、半導体基板21上にゲート絶縁膜23を形成する。ゲート絶縁膜23は、少なくとも高誘電体膜(High−k)を含む。そして、半導体基板21とゲート絶縁膜23との間に界面膜(図示せず)をさらに形成できる。ゲート絶縁膜23は、第1領域NMOSと第2領域PMOSとにおいて同じ構造を有する。すなわち、NMOSとPMOSのゲート絶縁膜23は、同じ材料から形成される。
ゲート絶縁膜23を形成する方法の一例は、次の通りである。
まず、洗浄工程により半導体基板21表面の自然酸化物(Native oxide)を除去する。洗浄工程は、フッ酸(HF)を含む溶液を利用する。このように、洗浄工程を行うことによって半導体基板21表面の自然酸化物を除去すると同時に、半導体基板21表面のダングリングボンド(dangling bond)を水素(Hydrogen)で保護(passivation)して後続工程の進行前まで自然酸化物が成長することを抑制する。
次に、界面膜(Interfacial layer)を形成する。界面膜は、絶縁物を含み、例えば、シリコン酸化物(SiO)を含む。界面膜は、半導体基板21とゲート絶縁膜23との間の界面特性を改善させて、電子移動度(Electron Mobility)特性を向上させる役割を果たす。界面膜としてシリコン酸化物がウェット方式により成長できる。界面膜は、10Å以下に成長させる。
次に、ゲート絶縁膜23を形成する。ゲート絶縁膜23は、高誘電率(High−k)を有する物質(以下、高誘電膜と略称する)を含む。高誘電膜は、シリコン酸化物(SiO)の誘電率(約3.9)より大きな誘電率を有する。また、高誘電膜は、物理的にシリコン酸化物よりかなり厚く、さらに低い等価酸化膜厚(Equivalent oxide thickness,EOT)値を有する。例えば、高誘電膜は、金属酸化物、金属シリケート、金属シリケート窒化物などの金属含有物質を含む。金属酸化物は、ハフニウム(Hf)、アルミニウム(Al)、ランタン(La)、ジルコニウム(Zr)などの金属を含有する酸化物を含む。金属酸化物は、ハフニウム酸化物(hafnium oxide,HfO)、アルミニウム酸化物(Al)、ランタン酸化物(lanthanum oxide,LaO)、ジルコニウム酸化物(zirconium oxide,ZrO)またはこれらの材料の組み合わせを含むことができる。金属シリケートは、ハフニウム(Hf)、ジルコニウム(Zr)などの金属を含有するシリケートを含む。金属シリケートは、ハフニウムシリケート(HfSiO)、ジルコニウムシリケート(ziconium silicate,ZrSiO)またはこれらの組み合わせを含むことができる。金属シリケート窒化物は、ハフニウムシリケート窒化物(HfSiON)、ジルコニウムシリケート窒化物(ZrSiON)などを含むことができる。金属シリケート窒化物を利用してゲート絶縁膜23を形成すれば、誘電定数を増加させることができ、かつ後続熱工程時に結晶化を抑制できる。NMOSとPMOSで高誘電膜を同時に使用することによって工程を単純化させる。一方、NMOSとPMOSにおいて高誘電膜は、互いに異なる高誘電膜が使用されてもよい。高誘電膜の形成工程は、蒸着される材料に適した適切な蒸着技術を含むことができる。例えば、化学気相蒸着法(Chemical Vapor Deposition,CVD)、低圧化学気相蒸着法(Low−Pressure CVD,LPCVD)、プラズマ強化化学気相蒸着法(Plasma−enhanced CVD,PECVD)、有機金属化学気相蒸着法(Metal−Organic CVD,MOCVD)、原子層蒸着法(Atomic Layer Deposition,ALD)、プラズマ強化原子層蒸着法(Plasma Enhanced ALD,PEALD)などがある。均一な薄膜を形成するために、プラズマ強化原子層蒸着法(PEALD)を利用できる。
ゲート絶縁膜23上に第1タングステン含有膜24Aを形成する。第1タングステン含有膜24Aは、第1仕事関数調節物質を含有できる。第1仕事関数調節物質は、炭素を含むことができる。例えば、第1タングステン含有膜24Aは、炭素含有非フッ素タングステンFFWCを含むことができる。第1タングステン含有膜24Aは、20〜30Åの厚さを有するように形成できる。第1タングステン含有膜24Aとして用いられる炭素含有非フッ素タングステンFFWCの形成方法は、図2及びそれにともなう説明を参照することにする。
図11Bに示すように、第1タングステン含有膜24A上に第1領域NMOSを覆い、第2領域PMOSをオープンさせる第1マスクパターン25を形成する。第1マスクパターン25は、感光膜を利用して形成できる。
第1マスクパターン25をエッチングマスクとして利用して、第1タングステン含有膜24Aをエッチングする。これにより、第1領域NMOSには、第1タングステン含有膜パターン24Bが形成され、第2領域PMOSには、第1タングステン含有膜24が残留しない。
図11Cに示すように、第1マスクパターン25を除去した後、第1タングステン含有膜パターン24Aを含んだ全面に第2タングステン含有膜26Aを形成する。第2タングステン含有膜26Aは、第2仕事関数調節物質を含有できる。第2仕事関数調節物質は、炭素及び窒素を含むことができる。例えば、第2タングステン含有膜26Aは、炭素含有非フッ素タングステン窒化物FFWNCを含むことができる。第2タングステン含有膜26Aは、20〜30Åの厚さを有するように形成できる。第2タングステン含有膜26Aとして用いられる炭素含有非フッ素タングステン窒化物FFWNCの形成方法は、図3及びそれにともなう説明を参照することにする。
図11Dに示すように、第2タングステン含有膜26Aを平坦化する。これにより、第2領域PMOSには、第2タングステン含有膜パターン26Bが形成される。第1領域NMOSには、第1タングステン含有膜パターン24Bが形成されている。
図11Eに示すように、ゲート電極の抵抗を減少させるための物質として第1タングステン含有膜パターン24Bと第2タングステン含有膜パターン26B上に第3タングステン含有膜27を形成できる。第3タングステン含有膜27は、タングステン膜を含むことができる。第3タングステン含有膜27は、タングステン核生成膜及びタングステンバルク膜を含むことができる。例えば、タングステン核生成膜を形成した後にタングステンバルク膜を形成することができる。
第3タングステン含有膜27は、図2及び図3によるタングステン核生成膜及びタングステンバルク膜の形成方法を参照することにする。
上記のように、第3タングステン含有膜27を形成すると、第1領域NMOSには、第1タングステン含有膜パターン24Bと第3タングステン含有膜27とが積層されたタングステン含有物質が形成される。第2領域PMOSには、第2タングステン含有膜パターン26Bと第3タングステン含有膜27とが積層されたタングステン含有物質が形成される。このように、第1領域NMOSと第2領域PMOSに形成されるタングステン含有物質は、互いに異なる仕事関数調節物質が含有されることができる。第1領域NMOSに形成されるタングステン含有物質は、仕事関数調節物質として炭素を含むことができる。第2領域PMOSに形成されるタングステン含有物質は、仕事関数調節物質として炭素と窒素とを含むことができる。
前述したように、第3タングステン含有膜27を形成した以後に後熱処理28を行う。後熱処理28は、図2及び図3による後熱処理ステップを参照することにする。
結局、後熱処理28が行われた第1タングステン含有膜パターン24B、第2タングステン含有膜パターン26B及び第3タングステン含有膜27は、炭素濃度が減少し大きな結晶粒を有するようになって、蒸着後より比抵抗が約80%以上減少する。
図11Fに示すように、第3タングステン含有膜27上に第2マスクパターン29を形成する。第2マスクパターン29は、感光膜を利用して形成できる。第2マスクパターン29は、NMOSのゲート電極とPMOSのゲート電極とをパターニングするためのマスクパターンでありうる。第2マスクパターン29は、感光膜パターンを利用してパターニングされたハードマスク膜パターンを含むこともできる。
第2マスクパターン29をエッチングマスクとして利用して第3タングステン含有膜27、第1タングステン含有膜パターン24B及び第2タングステン含有膜パターン26Bをエッチングする。これにより、第1領域NMOSに第1タングステン含有ゲート電極201を形成し、第2領域PMOSに第2タングステン含有ゲート電極202を形成する。第1タングステン含有ゲート電極201は、第1タングステン含有膜パターン24N、第3タングステン含有膜パターン27Nを含む。第2タングステン含有ゲート電極202は、第2タングステン含有膜パターン26Pと第3タングステン含有膜パターン27Pとを含む。
図示していないが、第1及び第2タングステン含有ゲート電極201、202の側壁にゲートスペーサを形成できる。次に、不純物をイオン注入してソース/ドレイン領域を形成できる。
上述した実施形態によれば、NMOSのゲート電極は、仕事関数調節物質の含有された第1タングステン含有膜パターン24Nを含む。PMOSのゲート電極は、仕事関数調節物質の含有された第2タングステン含有膜パターン26Pを含む。なお、NMOSのゲート電極とPMOSのゲート電極とは、抵抗を低くするための物質として第3タングステン含有膜パターン27N、27Pをさらに含む。
本発明は、CMOS回路工程時にNMOSとPMOSのしきい電圧をそれぞれ独立的に調節できる。
具体的に、PMOSは、ゲート電極として4.8eV以上の高い仕事関数を有する炭素含有非フッ素タングステン窒化物FFWNCを含むことによって、しきい電圧を増加させる。
そして、NMOSは、ゲート電極として4.5eV以下の低い仕事関数を有する炭素含有非フッ素タングステンFFWCを含むことによって、しきい電圧を減少させることができる。
本実施形態は、仕事関数調節物質の含有されたタングステン含有ゲート電極は、プラナーゲートになることができる。本実施形態の変形例として、仕事関数調節物質の含有されたタングステン含有ゲート電極は、リセスゲート電極(Recess gate electrode)、埋め込みゲート電極(Buried gate electrode)、垂直ゲート電極(Vertical gate electrode)に適用できる。また、仕事関数調節物質の含有されたタングステン含有膜は、ビットラインにも適用できる。また、仕事関数調節物質の含有されたタングステン含有膜は、タングステンプラグにも適用できる。
前述した本発明は、前述した実施形態及び添付された図面により限定されるものではなく、本発明の技術的思想から逸脱しない範囲内で様々な置換、変形及び変更が可能であるということは、本発明の属する技術分野における通常の知識を有した者にとって明らかである。
21 半導体基板
22 素子分離膜
23 ゲート絶縁膜
24N 第1タングステン含有膜パターン
25 第1マスクパターン
26P 第2タングステン含有膜パターン
27N、27P 第3タングステン含有膜パターン

Claims (6)

  1. NMOS領域とPMOS領域とを含む半導体基板の全面にゲート絶縁膜を形成するステップと、
    前記NMOS領域のゲート絶縁膜上に第1仕事関数調節物質の含有された第1タングステン含有膜を形成するステップと、
    前記PMOS領域のゲート絶縁膜上に第2仕事関数調節物質の含有された第2タングステン含有膜を形成するステップと、
    前記第1タングステン含有膜と第2タングステン含有膜とが形成された半導体基板に対して後熱処理を行うステップと、
    前記第1タングステン含有膜と第2タングステン含有膜とをエッチングして、前記NMOS領域とPMOS領域にそれぞれゲート電極を形成するステップと
    を含み、
    前記第1タングステン含有膜と第2タングステン含有膜とを形成するステップは、
    炭素の含有された非フッ素タングステンソースを利用した原子層蒸着法で形成し、
    前記第2タングステン含有膜は、炭素含有非フッ素タングステン窒化物を含み、前記炭素含有非フッ素タングステン窒化物の炭素及び窒素の含有量を調節するために、窒素含有物質のプラズマ処理を行うことを特徴とする半導体装置の製造方法。
  2. 前記第1仕事関数調節物質は、炭素を含むことを特徴とする請求項に記載の半導体装置の製造方法。
  3. 前記第2仕事関数調節物質は、炭素及び窒素を含むことを特徴とする請求項に記載の半導体装置の製造方法。
  4. 前記第1タングステン含有膜は、炭素含有非フッ素タングステンを含み、前記炭素含有非フッ素タングステンの炭素含有量を調節するために、水素含有物質のプラズマ処理を行うことを特徴とする請求項に記載の半導体装置の製造方法。
  5. 前記後熱処理を行うステップ以前に、
    前記第1タングステン含有膜と第2タングステン含有膜上に第3タングステン含有膜を形成するステップをさらに含むことを特徴とする請求項に記載の半導体装置の製造方法。
  6. 前記第3タングステン含有膜を形成するステップは、
    タングステン核生成膜を形成するステップと、
    前記タングステン核生成膜上にタングステンバルク膜を形成するステップと
    を含むことを特徴とする請求項に記載の半導体装置の製造方法。
JP2013006888A 2012-08-31 2013-01-18 タングステンゲート電極を備えた半導体装置の製造方法 Active JP6218384B2 (ja)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020120096508A KR20140028992A (ko) 2012-08-31 2012-08-31 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
KR10-2012-0096508 2012-08-31

Publications (2)

Publication Number Publication Date
JP2014049747A JP2014049747A (ja) 2014-03-17
JP6218384B2 true JP6218384B2 (ja) 2017-10-25

Family

ID=50186272

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2013006888A Active JP6218384B2 (ja) 2012-08-31 2013-01-18 タングステンゲート電極を備えた半導体装置の製造方法

Country Status (5)

Country Link
US (2) US9281373B2 (ja)
JP (1) JP6218384B2 (ja)
KR (1) KR20140028992A (ja)
CN (1) CN103681671B (ja)
TW (1) TWI624060B (ja)

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8623733B2 (en) 2009-04-16 2014-01-07 Novellus Systems, Inc. Methods for depositing ultra thin low resistivity tungsten film for small critical dimension contacts and interconnects
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN113862634A (zh) 2012-03-27 2021-12-31 诺发系统公司 钨特征填充
US9969622B2 (en) 2012-07-26 2018-05-15 Lam Research Corporation Ternary tungsten boride nitride films and methods for forming same
WO2016046909A1 (ja) * 2014-09-24 2016-03-31 株式会社日立国際電気 半導体装置の製造方法、基板処理装置、半導体装置およびプログラム
CN105448693A (zh) * 2014-09-30 2016-03-30 中芯国际集成电路制造(上海)有限公司 钨电极的形成方法
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10566187B2 (en) * 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9875890B2 (en) * 2015-03-24 2018-01-23 Lam Research Corporation Deposition of metal dielectric film for hardmasks
US9754824B2 (en) * 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
KR102441431B1 (ko) 2016-06-06 2022-09-06 어플라이드 머티어리얼스, 인코포레이티드 표면을 갖는 기판을 프로세싱 챔버에 포지셔닝하는 단계를 포함하는 프로세싱 방법
WO2018063284A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Film bulk acoustic resonator (fbar) devices with 2deg bottom electrode
WO2018063291A1 (en) * 2016-09-30 2018-04-05 Intel Corporation Single-flipped resonator devices with 2deg bottom electrode
CN108573921B (zh) * 2017-03-07 2021-03-09 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11348795B2 (en) 2017-08-14 2022-05-31 Lam Research Corporation Metal fill process for three-dimensional vertical NAND wordline
KR102589667B1 (ko) 2017-12-22 2023-10-17 삼성전자주식회사 반도체 장치
US11549175B2 (en) 2018-05-03 2023-01-10 Lam Research Corporation Method of depositing tungsten and other metals in 3D NAND structures
CN113424300A (zh) 2018-12-14 2021-09-21 朗姆研究公司 在3d nand结构上的原子层沉积
US11810961B2 (en) * 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
WO2023059381A1 (en) * 2021-10-05 2023-04-13 Applied Materials, Inc. Methods for forming low resistivity tungsten features
CN114836729A (zh) * 2022-05-17 2022-08-02 合肥安德科铭半导体科技有限公司 一种功函数可调的wcn薄膜沉积方法

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH02148723A (ja) * 1988-11-29 1990-06-07 Matsushita Electric Ind Co Ltd 金属の堆積方法
JPH0799318A (ja) * 1993-09-28 1995-04-11 Kobe Steel Ltd ダイヤモンド薄膜電界効果トランジスタ及びその製造方法
US6162715A (en) 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
KR100296126B1 (ko) * 1998-12-22 2001-08-07 박종섭 고집적 메모리 소자의 게이트전극 형성방법
US6858524B2 (en) 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
JP3790237B2 (ja) * 2003-08-26 2006-06-28 株式会社東芝 半導体装置の製造方法
US6921711B2 (en) * 2003-09-09 2005-07-26 International Business Machines Corporation Method for forming metal replacement gate of high performance
US20070026621A1 (en) * 2004-06-25 2007-02-01 Hag-Ju Cho Non-volatile semiconductor devices and methods of manufacturing the same
JP2006108602A (ja) * 2004-09-10 2006-04-20 Toshiba Corp 半導体装置及びその製造方法
JP4607645B2 (ja) * 2005-04-04 2011-01-05 株式会社東芝 半導体装置及びその製造方法
JP2007046134A (ja) * 2005-08-11 2007-02-22 Tokyo Electron Ltd 金属系膜形成方法及びプログラムを記録した記録媒体
KR100666917B1 (ko) 2005-12-02 2007-01-10 삼성전자주식회사 텅스텐 탄소 질화막을 포함하는 반도체 장치의 제조 방법.
US7645484B2 (en) * 2006-03-31 2010-01-12 Tokyo Electron Limited Method of forming a metal carbide or metal carbonitride film having improved adhesion
US8153831B2 (en) * 2006-09-28 2012-04-10 Praxair Technology, Inc. Organometallic compounds, processes for the preparation thereof and methods of use thereof
KR100881716B1 (ko) * 2007-07-02 2009-02-06 주식회사 하이닉스반도체 낮은 시트저항의 텅스텐막을 갖는 텅스텐배선 제조 방법 및그를 이용한 반도체소자의 게이트 제조 방법
US8053365B2 (en) 2007-12-21 2011-11-08 Novellus Systems, Inc. Methods for forming all tungsten contacts and lines
US7824988B2 (en) * 2009-01-21 2010-11-02 Freescale Semiconductor, Inc. Method of forming an integrated circuit
US8629506B2 (en) * 2009-03-19 2014-01-14 International Business Machines Corporation Replacement gate CMOS
KR101046727B1 (ko) 2009-11-30 2011-07-05 주식회사 하이닉스반도체 반도체장치의 매립게이트 제조 방법
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8558299B2 (en) * 2010-06-10 2013-10-15 Applied Materials, Inc. Semiconductor device with gate electrode stack including low resistivity tungsten and method of forming
US20130062701A1 (en) * 2011-09-08 2013-03-14 Chiu-Te Lee Semiconductor device and manufacturing method thereof
US8617985B2 (en) * 2011-10-28 2013-12-31 Applied Materials, Inc. High temperature tungsten metallization process
US8614106B2 (en) * 2011-11-18 2013-12-24 International Business Machines Corporation Liner-free tungsten contact
US20160351675A1 (en) * 2015-05-26 2016-12-01 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having replacement metal gate electrodes

Also Published As

Publication number Publication date
CN103681671A (zh) 2014-03-26
US20140061784A1 (en) 2014-03-06
KR20140028992A (ko) 2014-03-10
US20160155673A1 (en) 2016-06-02
JP2014049747A (ja) 2014-03-17
CN103681671B (zh) 2018-07-27
TW201409697A (zh) 2014-03-01
TWI624060B (zh) 2018-05-11
US9281373B2 (en) 2016-03-08

Similar Documents

Publication Publication Date Title
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
US9070749B2 (en) Semiconductor device including fluorine-free tungsten barrier layer and method for fabricating the same
KR101166437B1 (ko) 반도체 전계효과 트랜지스터와 그 제조
US10199228B2 (en) Manufacturing method of metal gate structure
CN101345260B (zh) 半导体结构
US20080105920A1 (en) Semiconductor devices and fabrication process thereof
CN103854983B (zh) P型mosfet的制造方法
KR101889469B1 (ko) 고유전층 및 금속게이트를 갖는 반도체장치, cmos 회로 및 그 제조 방법
US8889505B2 (en) Method for manufacturing semiconductor device
TWI591826B (zh) 具有雙重工作函數閘極堆疊的半導體裝置及其製造方法
JP2007513498A (ja) FETゲート電極用のCVDタンタル化合物(TaおよびNを含む化合物の化学的気相堆積方法および半導体電界効果デバイス)
TW201318169A (zh) 具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法
US8633119B2 (en) Methods for manufacturing high dielectric constant films
US9153586B2 (en) Semiconductor device having metal carbon nitride electrodes with different work functions
CN107689393B (zh) 一种半导体器件及其制造方法
US8633114B2 (en) Methods for manufacturing high dielectric constant films
JP4967407B2 (ja) 半導体装置の製造方法
TWI582839B (zh) 金屬閘極結構及其製作方法
TW202412185A (zh) 防止鋁擴散之阻障層
JP5372394B2 (ja) 半導体装置及びその製造方法
KR20070117213A (ko) 반도체 소자 및 그의 제조방법

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20151224

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20161227

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20170110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170410

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20170905

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20170926

R150 Certificate of patent or registration of utility model

Ref document number: 6218384

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250