KR102589667B1 - 반도체 장치 - Google Patents

반도체 장치 Download PDF

Info

Publication number
KR102589667B1
KR102589667B1 KR1020170177895A KR20170177895A KR102589667B1 KR 102589667 B1 KR102589667 B1 KR 102589667B1 KR 1020170177895 A KR1020170177895 A KR 1020170177895A KR 20170177895 A KR20170177895 A KR 20170177895A KR 102589667 B1 KR102589667 B1 KR 102589667B1
Authority
KR
South Korea
Prior art keywords
work function
layer
sub
metal layer
transistor
Prior art date
Application number
KR1020170177895A
Other languages
English (en)
Other versions
KR20190076251A (ko
Inventor
박종호
김완돈
임정혁
현상진
Original Assignee
삼성전자주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 삼성전자주식회사 filed Critical 삼성전자주식회사
Priority to KR1020170177895A priority Critical patent/KR102589667B1/ko
Priority to US16/116,295 priority patent/US10784260B2/en
Priority to CN201811567096.4A priority patent/CN109979937A/zh
Publication of KR20190076251A publication Critical patent/KR20190076251A/ko
Application granted granted Critical
Publication of KR102589667B1 publication Critical patent/KR102589667B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/82345MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42364Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the insulating layer, e.g. thickness or uniformity
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4908Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET for thin film semiconductor, e.g. gate of TFT
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4933Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a silicide layer contacting the silicon layer, e.g. Polycide gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/495Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a simple metal, e.g. W, Mo
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Nanotechnology (AREA)
  • Composite Materials (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Theoretical Computer Science (AREA)
  • Mathematical Physics (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

반도체 장치가 제공된다. 반도체 장치는 기판 상에 제공되고 문턱 전압이 서로 다른 제 1 내지 제 3 트랜지스터들을 포함하고, 상기 제 1 내지 제 3 트랜지스터들은 각각 게이트 절연층, 제 1 일함수 금속층, 및 제 2 일함수 금속층을 포함한다. 상기 제 1 트랜지스터의 상기 제 1 일함수 금속층은 제 1 서브 일함수층을 포함한다. 상기 제 2 트랜지스터의 상기 제 1 일함수 금속층은 제 2 서브 일함수층을 포함한다. 상기 제 3 트랜지스터의 상기 제 1 일함수 금속층은 제 3 서브 일함수층을 포함한다. 상기 제 1 내지 제 3 서브 일함수층들은 서로 다른 일함수를 갖는다.

Description

반도체 장치 {Semiconductor device}
본 발명은 반도체 장치에 관한 것으로서, 보다 상세하게 서로 다른 임계 전압들을 갖는 전계 효과 트랜지스터들 및 그 제조 방법에 관한 것이다.
반도체 장치는 모스 전계 효과 트랜지스터들(MOS(Metal Oxide Semiconductor) FET)로 구성된 집적회로를 포함한다. 이러한 반도체 장치는 고집적화됨에 따라 모스 전계 효과 트랜지스터들의 크기 축소(scale down)도 점점 가속화되고 있으며, 이로 인해 반도체 장치의 동작 특성이 저하될 수 있다. 따라서, 반도체 장치의 고집적화에 따른 한계를 극복하면서 보다 우수한 성능을 반도체 장치를 형성하기 위한 다양한 방법이 연구되고 있다.
본원 발명이 해결하고자 하는 과제는 전기적 특성이 향상된 반도체 장치를 제공하는데 있다.
본원 발명이 해결하고자 하는 다른 과제는 서로 다른 임계 전압을 갖는 복수의 트랜지스터들을 용이하게 제조하는데 있다.
본 발명이 해결하고자 하는 과제는 이상에서 언급한 과제에 제한되지 않으며, 언급되지 않은 또 다른 과제들은 아래의 기재로부터 당업자에게 명확하게 이해될 수 있을 것이다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 실시예들에 따른 반도체 장치는 기판 상에 제공되고 문턱 전압이 서로 다른 제 1 내지 제 3 트랜지스터들을 포함하고, 상기 제 1 내지 제 3 트랜지스터들은 각각 게이트 절연층, 제 1 일함수 금속층, 및 제 2 일함수 금속층을 포함하고, 상기 제 1 트랜지스터의 상기 제 1 일함수 금속층은 제 1 서브 일함수층을 포함하고, 상기 제 2 트랜지스터의 상기 제 1 일함수 금속층은 제 2 서브 일함수층을 포함하고, 상기 제 3 트랜지스터의 상기 제 1 일함수 금속층은 제 3 서브 일함수층을 포함하고, 상기 제 1 내지 제 3 서브 일함수층들은 서로 다른 일함수를 가질 수 있다.
상기 해결하고자 하는 과제를 달성하기 위하여 본 발명의 실시예들에 따른 반도체 장치는 기판 상에 제공되고 문턱 전압이 서로 다른 제 1 내지 제 3 트랜지스터들을 포함하고, 상기 제 1 내지 제 3 트랜지스터들은 각각 게이트 절연층, 제 1 일함수 금속층, 및 제 2 일함수 금속층을 포함하고, 상기 제 1 내지 제 3 트랜지스터들의 상기 제 1 일함수 금속층은 각각 서로 다른 일함수를 갖는 제 1 내지 제 3 서브 일함수층들을 포함하고, 상기 제 1 내지 제 3 서브 일함수층들의 두께는 실질적으로 동일할 수 있다.
기타 실시예들의 구체적인 사항들은 상세한 설명 및 도면들에 포함되어 있다.
본 발명의 실시예들에 따르면, 전기적 특성이 향상되고 서로 다른 임계 전압을 갖는 복수의 트랜지스터들이 제공될 수 있다.
도 1은 본 발명의 실시예들에 따른 트랜지스터의 사시도이다.
도 2 내지 도 4는 본 발명의 실시예들에 따른 반도체 장치의 단면도들로, 각각 도 1의 A-A'선 및 B-B'선에 따른 단면도들이다.
도 5는 본 발명의 실시예들에 따른 제 4 트랜지스터의 단면도이다.
도 6은 본 발명의 실시예들에 따른 제 5 트랜지스터의 단면도이다.
도 7a 및 도 7b는 본 발명의 실시예들에 따른 제 1 내지 제 5 트랜지스터들(TR1-TR5)의 단면도들이다.
도 8 내지 도 12는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다.
도 13은 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다.
도 14a는 본 발명의 실시예에 따른 트랜지스터의 평면도이다.
도 14b는 도 14a의 C-C'선 및 D-D'선에 따른 단면도이다.
도 1은 본 발명의 실시예들에 따른 트랜지스터의 사시도이다. 도 2 내지 도 4는 본 발명의 실시예들에 따른 반도체 장치의 단면도들로, 각각 도 1의 A-A'선 및 B-B'선에 따른 단면도들이다.
도 1 내지 도 4를 참조하면, 본 발명의 실시예들에 따른 반도체 장치는 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)을 포함할 수 있다. 도 1에는 하나의 트랜지스터의 사시도가 도시되었으나, 도 2 내지 도 4의 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3) 각각은 도 1에 도시된 트랜지스터에 해당될 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 기판(100)의 서로 다른 영역들에 제공되는 트랜지스터들일 수 있다. 이들 중 적어도 일부는 인접할 수 있으나 이에 한정되지 않는다.
상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 기판(100) 상에 제공될 수 있다. 상기 기판(100)은 단결정 실리콘 기판일 수 있다. 이와 달리 상기 기판(100)은 실리콘-온-인슐레이터(silicon on insulator: SOI) 기판, 게르마늄 기판, 게르마늄-온-인슐레이터(germanium on insulator: GOI) 기판, 실리콘-게르마늄 기판, 또는 선택적 에피택시얼 성장(selective epitaxial growth: SEG)을 수행하여 획득한 에피택시얼 박막의 기판일 수 있다. 일 실시예에 따르면, 상기 기판(100)은 n형 또는 p형의 웰 불순물층을 포함할 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 동일한 도전형의 모오스 전계 효과 트랜지스터들일 수 있다. 일 예로, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 P형 트랜지스터들일 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 서로 임계 전압이 다른 트랜지스터들일 수 있다. 상기 제 3 트랜지스터(TR3)의 임계 전압은 상기 제 2 트랜지스터(TR2)의 임계 전압보다 클 수 있다. 상기 제 2 트랜지스터(TR2)의 임계 전압은 상기 제 1 트랜지스터(TR1)의 임계 전압보다 클 수 있다.
상기 기판(100)은 소자 분리막(101)에 의하여 정의되는 활성 영역들을 포함할 수 있다. 상기 소자 분리막(101)은 실리콘 산화물을 포함할 수 있다. 상기 활성 영역들은 상기 소자 분리막(101)의 상면으로부터 제 3 방향(D3)으로 돌출된 핀 패턴들(F)을 포함할 수 있다. 상기 제 3 방향(D3)은 상기 기판(100)의 상면에 수직한 방향일 수 있다. 일 예로, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 각각 제 1 내지 제 3 핀 패턴들(F1, F2, F3)을 포함할 수 있다. 상기 제 1 내지 제 3 핀 패턴들(F1, F2, F3) 각각은 상기 기판(100)의 상면에 평행한 제 1 방향(D1)을 따라 연장될 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 각각 상기 기판(100) 상에 배치되는 게이트 구조체(GS) 및 상기 게이트 구조체(GS) 양측에 제공되는 소오스/드레인 영역들(103)을 포함한다. 상기 게이트 구조체(GS)는 상기 제 1 방향(D1)과 수직하고 상기 기판(100)의 상면에 평행한 제 2 방향(D2)으로 연장될 수 있다. 일 예로, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 각각 제 1 내지 제 3 게이트 구조체들(GS1, GS2, GS3)을 포함할 수 있다.
상기 제 1 내지 제 3 게이트 구조체들(GS1, GS2, GS3)은 각각의 양측벽에 스페이서들(180)을 포함할 수 있다. 일 예로, 상기 스페이서들(180)은 실리콘 산화물을 포함할 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 각각 상기 스페이서들(180)에 의하여 정의되는 갭 영역(RR) 내에 게이트 절연층 및 게이트 전극(GE)을 포함할 수 있다. 상기 게이트 절연층은 계면층(111) 및 상기 계면층(111) 상의 고유전층(121)을 포함할 수 있다. 상기 계면층(111)은 상기 핀 패턴(F)과 상기 고유전층(121) 사이에 제공될 수 있다. 상기 계면층(111)은 상기 소자 분리막(101) 상으로 돌출된 상기 핀 패턴(F)의 측벽 및 상면을 따라 제공될 수 있다. 상기 계면층(111)은 유전 상수가 9 이하인 저유전 물질로 형성될 수 있다. 예를 들어, 상기 계면층(111)은 실리콘 산화막 또는 실리콘 산질화막일 수 있다. 상기 계면층(111)은 기판(100)과 상기 고유전층(121) 사이의 계면 결함을 줄일 수 있다. 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 계면층들(111)은 동일한 물질로 동시에 형성된 층들일 수 있다.
상기 고유전층(121)은 실리콘 산화물보다 큰 유전 상수를 갖는 고유전 물질로 형성될 수 있다. 상기 고유전층(121)은 상기 계면층(111)보다 두꺼울 수 있다. 예를 들어, 상기 고유전층(121)은 금속산화물, 금속실리케이트 또는 금속실리케이트질화물 등을 포함할 수 있다. 금속산화물은 하프늄(Hf), 알루미늄(Al), 란타늄(La), 지르코늄(Zr) 등의 금속을 함유하는 산화물을 포함한다. 예를 들어, 금속산화물은 HfO2, Al2O3, La2O3, ZrO2 또는 이들 재료들의 조합을 포함할 수 있다. 금속실리케이트는 하프늄(Hf), 지르코늄(Zr) 등의 금속을 함유하는 실리케이트를 포함한다.
예를 들어, 금속실리케이트는 하프늄실리케이트(Hafnium silicate, HfSiO), 지르코늄 실리케이트(Zirconium silicate, ZrSiO) 또는 이들의 조합을 포함할 수 있다. 금속실리케이트 질화물은 하프늄(Hf), 지르코늄(Zr) 등의 금속을 함유하는 실리케이트를 포함한다. 예를 들어, 금속실리케이트 질화물은 하프늄실리케이트질화물(HfSiON), 지르코늄실리케이트질화물(ZrSiON) 또는 이들의 조합을 포함할 수 있다. 실시예들에 따르면, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 고유전층들(121)은 동일한 물질로 동시에 형성된 층들일 수 있다. 이와는 달리, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3) 중 적어도 일부의 고유전층(121)은 다른 트랜지스터들의 고유전층들(121)과 다른 원소를 포함할 수 있다. 일 예로, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3) 중 적어도 일부의 고유전층(121)은 란타늄(La) 또는 알루미늄(Al)과 같은 일함수 조절 물질을 포함할 수 있다. 상기 일함수 조절 물질은 상기 계면층(111)과 상기 고유전층(121) 사이에 쌍극자(dipole)를 형성하여 유효 일함수를 변화시킬 수 있다.
상기 고유전층(121)은 상기 스페이서들(180)에 의하여 정의되는 갭 영역(RR) 내에 콘포멀하게 제공될 수 있다. 일 예로, 상기 고유전층(121)은 상기 스페이서들(180)의 내측벽들 및 상기 계면층(111)의 상면을 따라 U자 형태로 제공될 수 있다. 상기 고유전층(121)은 상기 소자 분리막(101)의 상면 상으로 연장될 수 있다.
소오스/드레인 영역들(103)은 상기 제 1 내지 제 3 핀 패턴들(F1, F2, F3) 상에 각각 배치될 수 있다. 일 실시예에서, 소오스/드레인 영역들(103)은 에피택셜층으로 이루어질 수 있다. 예를 들어, 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)이 p형 트랜지스터들인 경우, 소오스/드레인 영역들(103)은 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 채널 영역들에 압축성 스트레인(compressive strain)을 제공하는 물질로 이루어질 수 있다. 예를 들어, 소오스/드레인 영역들(103)은 실리콘 게르마늄(SiGe)으로 형성될 수 있다.
이와는 달리, 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)이 n형 트랜지스터들인 경우, 소오스/드레인 영역들(103)은 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 채널 영역들에 인장성 스트레인(tensile strain)을 제공하는 물질로 이루어질 수 있다. 예를 들어, 소오스/드레인 영역들(103)은 실리콘 카바이드(SiC)로 형성될 수 있다.
상기 제 1 내지 제 3 게이트 구조체들(GS1, GS2, GS3)은 각각 제 1 내지 제 3 게이트 전극들(GE1, GE2, GE3)을 포함할 수 있다. 상기 제 1 내지 제 3 게이트 전극들(GE1, GE2, GE3)은 각각 상기 고유전층(121) 상에 차례로 제공되는 제 1 일함수 금속층(PW), 제 2 일함수 금속층(NW), 및 상부 도전층(171)을 포함할 수 있다. 상기 제 2 일함수 금속층(NW)은 상기 제 1 일함수 금속층(PW)보다 유효 일함수가 작을 수 있다. 일 예로, 상기 제 1 일함수 금속층(PW)의 유효 일함수는 약 4.8eV 내지 약 5.1eV일 수 있다. 상기 제 2 일함수 금속층(NW)의 유효 일함수는 약 4.1eV 내지 약 4.4eV일 수 있다.
본 발명의 실시예들에서 게이트 전극의 유효 일함수(effective work function)는 게이트 전극을 이루는 물질들의 종류, 형상 및 제조 공정에 의해 결정(또는 조절)되는 파라미터로서, 모스 전계 효과 트랜지스터들의 임계 전압에 영향을 줄 수 있다. 또한, 특정 물질의 일함수(work function)는, 물질 내의 전자가 초기에 페르미 레벨에 위치한 경우, 그 전자를 물질의 원자로부터 진공으로 방출시키는데 필요한 에너지의 값으로서, 물질의 고유 속성을 의미한다.
이하, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 제 1 일함수 금속층(PW)에 대하여 보다 상세히 설명된다.
상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 제 1 일함수 금속층(PW)은 각각 서로 다른 유효 일함수를 갖는 제 1 내지 제 3 서브 일함수층들(S1, S2, S3)을 포함할 수 있다. 상기 제 1 내지 제 3 서브 일함수층들(S1, S2, S3) 각각은 상기 고유전층(121)의 상면과 접할 수 있다. 즉, 상기 제 1 트랜지스터(TR1)는 상기 고유전층(121)의 상면과 접하는 제 1 서브 일함수층(S1)을 포함하고, 상기 제 2 트랜지스터(TR2)는 상기 고유전층(121)의 상면과 접하는 제 2 서브 일함수층(S2)을 포함하고, 상기 제 3 트랜지스터(TR3)는 상기 고유전층(121)의 상면과 접하는 제 3 서브 일함수층(S3)을 포함할 수 있다.
상기 제 1 서브 일함수층(S1)의 유효 일함수는 상기 제 2 서브 일함수층(S2)의 유효 일함수보다 작을 수 있다. 상기 제 2 서브 일함수층(S2)의 유효 일함수는 상기 제 3 서브 일함수층(S3)의 유효 일함수보다 작을 수 있다. 일 예로, 상기 제 1 서브 일함수층(S1)은 WSix, TiSiN, TiAlN, WN, TaSiN, TaAlN, TiSix, TaSix, Nb, 또는 V(x는 상수)를 포함할 수 있다. 상기 제 2 서브 일함수층(S2)은 TaN, TiN, Mo, W, 또는 WC을 포함할 수 있다. 상기 제 3 서브 일함수층(S3)은 Pt, Ru, Ir, Ni, W, WC, WCN, TiN, TiCN, TiBN, Mo, MoN, NbN, 또는 VN를 포함할 수 있다.
상기 제 1 서브 일함수층(S1)의 제 1 두께(t1), 상기 제 2 서브 일함수층(S2)의 제 2 두께(t2), 및 상기 제 3 서브 일함수층(S3)의 제 3 두께(t3)는 실질적으로 동일할 수 있다. 일 예로, 상기 제 1 두께(t1), 상기 제 2 두께(t2), 및 상기 제 3 두께(t3) 사이의 두께 차이는 약 9 Å 이하일 수 있다. 그 결과, 상기 제 1 서브 일함수층(S1)의 상면, 상기 제 2 서브 일함수층(S2)의 상면, 및 상기 제 3 서브 일함수층(S3)의 상면은 상기 기판(100)으로부터 실질적으로 동일한 레벨에 위치할 수 있다.
상기 제 1 트랜지스터(TR1)의 상기 제 1 일함수 금속층(PW)은 상기 제 1 서브 일함수층(S1)과 상기 제 2 일함수 금속층(NW) 사이에 상기 제 2 서브 일함수층(S2)을 더 포함할 수 있다. 상기 제 1 트랜지스터(TR1)의 상기 제 1 일함수 금속층(PW)은 상기 제 2 서브 일함수층(S2)과 상기 제 2 일함수 금속층(NW) 사이에 상기 제 3 서브 일함수층(S3)을 더 포함할 수 있다. 이 경우, 상기 제 1 트랜지스터(TR1)의 상기 제 1 일함수 금속층(PW)은 차례로 적층된 제 1 서브 일함수층(S1), 제 2 서브 일함수층(S2), 및 제 3 서브 일함수층(S3)을 포함할 수 있다.
상기 제 2 트랜지스터(TR2)의 상기 제 1 일함수 금속층(PW)은 상기 제 2 서브 일함수층(S2)과 상기 제 2 일함수 금속층(NW) 사이에 상기 제 3 서브 일함수층(S3)을 더 포함할 수 있다. 이 경우, 상기 제 2 트랜지스터(TR2)의 상기 제 1 일함수 금속층(PW)은 차례로 적층된 제 2 서브 일함수층(S2) 및 제 3 서브 일함수층(S3)을 포함할 수 있다.
상기 제 1 내지 제 3 게이트 전극들(GE1, GE2, GE3)은 각각 상기 제 2 일함수 금속층(NW)을 포함할 수 있다. 본 발명의 실시예들에 따르면, 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)의 제 1 일함수 금속층(PW)은 각각 상기 제 3 서브 일함수층(S3)을 포함하고, 상기 제 3 서브 일함수층(S3)과 상기 제 2 일함수 금속층(NW)이 접할 수 있다. 다른 실시예들에 따르면, 상기 제 1 트랜지스터(TR1)의 상기 제 1 일함수 금속층(PW)은 상기 제 2 및 제 3 서브 일함수층들(S2, S3)을 포함하지 않고, 상기 제 1 서브 일함수층(S1)이 상기 제 2 일함수 금속층(NW)과 접할 수 있다. 마찬가지로, 상기 제 2 트랜지스터(TR2)의 상기 제 1 일함수 금속층(PW)은 상기 제 3 서브 일함수층(S3)을 포함하지 않고, 상기 제 2 서브 일함수층(S2)이 상기 제 2 일함수 금속층(NW)과 접할 수 있다.
상기 제 2 일함수 금속층(NW)은 알루미늄(Al)을 포함할 수 있다. 일 예로, 상기 제 2 일함수 금속층(NW)은 TiAl, TiAlC, TaAl, TaAlC, TiAlSi, TiAlSiC, Al 또는 AlC를 포함할 수 있다. 이와는 달리 상기 제 2 일함수 금속층(NW)은 TiSi, TiSiC, TaSi, TaSiC, Ti, TiC, Ta, 또는 TaC를 포함할 수 있다. 상기 제 2 일함수 금속층(NW) 내의 알루미늄 원소는 그 아래의 층으로 확산될 수 있다. 일 예로, 상기 제 2 일함수 금속층(NW)과 접하는 층, 일 예로, 상기 제 3 서브 일함수층(S3)의 적어도 일부는 상기 제 2 일함수 금속층(NW)으로부터 확산된 알루미늄을 포함할 수 있다. 이와는 달리, 상기 제 2 일함수 금속층(NW)으로부터 이격되어 있는 층, 일 예로, 제 1 서브 일함수층(S1)은 상기 제 2 일함수 금속층(NW)으로부터 확산된 알루미늄 원소를 포함하지 않을 수 있다. 상기 제 1 일함수 금속층(PW) 및 상기 제 2 일함수 금속층(NW)은 도 1 에 도시된 갭 영역(RR)을 따라 U자 형태로 제공될 수 있다.
상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)은 상기 제 2 일함수 금속층(NW) 상에 상기 상부 도전층(171)을 포함할 수 있다. 상기 상부 도전층(171)은 상기 제 2 일함수 금속층(NW)보다 비저항이 낮은 물질로 형성될 수 있다. 일 예로, 상기 상부 도전층(171)은 텅스텐(W)을 포함할 수 있다. 상기 상부 도전층(171)은 도 1에 도시된 갭 영역(RR)의 잔부를 채울 수 있다. 상기 상부 도전층(171)의 두께는 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3)에 따라 다를 수 있다. 일 예로, 상기 제 3 트랜지스터(TR3)의 상부 도전층(171)은 상기 제 2 트랜지스터(TR2)의 상부 도전층(171) 보다 두꺼울 수 있고, 상기 제 2 트랜지스터(TR2)의 상부 도전층(171)은 상기 제 1 트랜지스터(TR1)의 상부 도전층(171) 보다 두꺼울 수 있다.
핀형 전계 효과 트랜지스터의 경우, 작은 핀의 사이즈에 기인하여 트랜지스터들의 임계 전압 조절을 위한 이온 도핑이 용이하지 않다. 일함수 조절층의 두께를 조절하여 유효 일함수를 조절하는 방식의 경우에는 게이트 전극의 폭 및/또는 두께에 의하여 일함수 조절이 제한될 수 있다. 또한, 두께 조절을 위한 일함수 조절층의 제거 공정의 횟수 및 난이도가 상대적으로 높으며, 일부 금속층이 제거되지 않는 문제가 발생될 수 있다.
본 발명의 실시예들에 따르면, 게이트 절연층과 접하는 제 1 일함수 금속층들의 하부를 서로 다른 일함수를 갖는 제 1 내지 제 3 서브 일함수층들로 형성하여 용이하게 서로 임계 전압이 다른 제 1 내지 제 3 트랜지스터들을 구성할 수 있다.
도 5는 본 발명의 실시예들에 따른 제 4 트랜지스터(TR4)의 단면도이다. 본 발명의 실시예들에 따른 반도체 장치는 상기 제 1 내지 제 3 트랜지스터들(TR1, TR2, TR3) 이외에 상기 제 4 트랜지스터(TR4)를 더 포함할 수 있다. 상기 제 4 트랜지스터(TR4)의 임계 전압은 제 1 트랜지스터(TR1)의 임계 전압보다 크고 상기 제 2 트랜지스터(TR2)의 임계 전압 보다 작을 수 있다. 상기 제 4 트랜지스터(TR4)의 제 4 게이트 전극(GE4)은 제 1 일함수 금속층(PW) 및 제 2 일함수 금속층(NW)을 포함할 수 있다.
상기 제 4 트랜지스터(TR4)의 제 1 일함수 금속층(PW)은 제 4 서브 일함수층(S4)을 포함할 수 있다. 상기 제 4 서브 일함수층(S4)의 유효 일함수는 상기 제 1 서브 일함수층(S1)의 유효 일함수보다 크고 상기 제 2 서브 일함수층(S2)의 유효 일함수보다 작을 수 있다. 일 예로, 상기 제 4 서브 일함수층(S4)은 TiSiN, TaN, TiAlN, WSix, WN, TaSiN, TaAlN, Nb, 또는 V(x는 상수)을 포함할 수 있다. 상기 제 4 서브 일함수층(S4)의 제 4 두께(t4)는 상기 제 1 내지 제 3 두께들(t1, t2, t3)과 실질적으로 동일할 수 있다. 상기 제 4 트랜지스터(TR4)의 제 1 일함수 금속층(PW)은 상기 제 4 서브 일함수층(S4)과 상기 제 2 일함수 금속층(NW) 사이에 제 2 서브 일함수층(S2) 및 제 3 서브 일함수층(S3)을 더 포함할 수 있다. 상기 제 4 트랜지스터(TR4)의 다른 구성들은 상기 제 1 트랜지스터(TR1)의 구성들에 상응할 수 있다.
도 6은 본 발명의 실시예들에 따른 제 5 트랜지스터(TR5)의 단면도이다. 본 발명의 실시예들에 따른 반도체 장치는 상기 제 1 내지 제 4 트랜지스터들(TR1, TR2, TR3, TR4) 이외에 상기 제 5 트랜지스터(TR5)를 더 포함할 수 있다. 상기 제 5 트랜지스터(TR5)의 임계 전압은 상기 제 1 트랜지스터(TR1)의 임계 전압보다 작을 수 있다. 상기 제 5 트랜지스터(TR5)의 제 5 게이트 전극(GE5)은 제 1 일함수 금속층(PW)을 포함하지 않으며, 제 2 일함수 금속층(NW)이 상기 고유전층(121)의 상면과 접할 수 있다. 상술한 바와 같이, 상기 제 2 일함수 금속층(NW)은 TiAl, TiAlC, TaAl, TaAlC, TiAlSi, TiAlSiC, Al 또는 AlC과 같이 알루미늄을 포함할 수 있다. 상기 제 2 일함수 금속층(NW)의 제 5 두께(t5)는 상기 제 1 내지 제 4 두께들(t1, t2, t3, t4)과 실질적으로 동일할 수 있다. 상기 제 5 트랜지스터(TR5)의 다른 구성들은 상기 제 1 트랜지스터(TR1)의 구성들에 상응할 수 있다.
도 7a 및 도 7b는 본 발명의 실시예들에 따른 제 1 내지 제 5 트랜지스터들(TR1-TR5)의 단면도들이다. 설명의 간소화를 위하여 중복되는 구성에 대한 설명은 생략된다.
본 실시예들의 제 1 내지 제 5 트랜지스터들(TR1-TR5) 각각은 고유전층(121)과 접하는 캐핑 도전층(CM)을 포함할 수 있다. 상기 캐핑 도전층(CM)에 의하여 상기 고유전층(121)과 제 2 일함수 금속층(NW) 사이의 거리가 도 2 내지 도 6의 실시예보다 증가될 수 있다. 제 1 내지 제 5 트랜지스터들(TR1-TR5) 각각의 캐핑 도전층(CM)은 실질적으로 동일한 두께로 형성될 수 있다. 상기 캐핑 도전층(CM)의 두께는 상기 제 1 내지 제 5 두께(t1, t2, t3, t4, t5)와 같거나 작을 수 있으나 이에 한정되지 않는다. 일 예로, 상기 캐핑 도전층(CM)은 TiN을 포함할 수 있다. 상기 캐핑 도전층(CM)은 상기 고유전층(121)의 상면 및 측벽을 따라 콘포멀하게 형성될 수 있다.
상기 제 1 내지 제 4 트랜지스터들(TR1-TR4)에서, 상기 캐핑 도전층(CM)은 상기 고유전층(121)과 상기 제 1 일함수 금속층(PW) 사이에 제공될 수 있다. 상기 제 1 내지 제 4 서브 일함수층들(S1, S2, S3, S4)은 상기 캐핑 도전층(CM)의 상면과 접할 수 있다. 상기 제 5 트랜지스터(TR5)에서, 상기 캐핑 도전층(CM)은 상기 고유전층(121)과 상기 제 2 일함수 금속층(NW) 사이에 제공될 수 있다. 상기 제 2 일함수 금속층(NW)은 상기 캐핑 도전층(CM)의 상면과 접할 수 있다.
도 8 내지 도 12는 본 발명의 실시예들에 따른 반도체 소자의 제조 방법을 설명하기 위한 단면도들이다. 설명의 간소화를 위하여 기판(100)과 그 위의 게이트 구조체들 이외의 구성은 생략된다. 제 1 내지 제 5 트랜지스터들(TR1-TR5)이 함께 형성되는 것으로 설명되나, 상술한 바와 같이, 제 4 트랜지스터(TR4) 및/또는 제 5 트랜지스터(TR5)는 생략될 수 있다.
도 8을 참조하여, 제 1 내지 제 5 영역(R1-R5)을 포함하는 기판(100)이 제공될 수 있다. 상기 제 1 내지 제 5 영역(R1-R5)은 각각 제 1 내지 제 5 트랜지스터들(TR1-TR5)이 형성되는 영역들일 수 있다. 상기 제 1 내지 제 5 영역(R1-R5) 상에 게이트 절연층이 형성될 수 있다. 일 예로, 상기 게이트 절연층은 계면층(미도시) 및 고유전층(121)을 포함할 수 있다. 예를 들어, 상기 계면층은 실리콘 산화막 또는 실리콘 산질화막일 수 있다. 상기 계면층은 열산화 방법 또는 원자층 증착 방법을 이용하여 형성될 수 있다. 상기 계면층은 기판(100)과 상기 고유전층(121) 간의 계면특성을 개선시켜 전자 이동도(Electron Mobility) 특성을 향상시킬 수 있다. 상기 계면층은 생략될 수 있다.
상기 고유전층(121)은 실리콘 산화물보다 큰 유전 상수를 갖는 고유전 물질로 형성되며, 계면층보다 두껍게 형성될 수 있다. 예를 들어, 상기 고유전층(121)은 금속산화물, 금속실리케이트 또는 금속실리케이트질화물 등을 포함할 수 있다. 금속산화물은 하프늄(Hf), 알루미늄(Al), 란타늄(La), 지르코늄(Zr) 등의 금속을 함유하는 산화물을 포함한다. 금속실리케이트는 하프늄(Hf), 지르코늄(Zr) 등의 금속을 함유하는 실리케이트를 포함한다. 금속실리케이트 질화물은 하프늄(Hf), 지르코늄(Zr) 등의 금속을 함유하는 실리케이트를 포함한다.
상기 고유전층(121)은 예를 들어, 화학기상 증착법(Chemical Vapor Deposition, CVD), 저압 화학기상 증착법(Low-Pressure CVD, LPCVD), 플라즈마-인핸스드 화학기상 증착법(Plasma-enhanced CVD, PECVD), 유기금속 화학기상증착법(Metal-Organic CVD, MOCVD), 원자층 증착법(Atomic Layer Deposition, ALD), 플라즈마-인핸스드 원자층 증착법(Plasma Enhanced ALD, PEALD) 등으로 형성될수 있다. 균일한 막형성을 위해 원자층 증착법(ALD) 또는 플라즈마-인핸스드 원자층 증착법(PEALD)이 사용될 수 있다.
실시예들에 따르면, 상기 고유전층(121) 상에 알루미늄(Al) 또는 란타늄(La)과 같이 일함수 조절 물질을 포함하는 층(일 예로, 산화물층)이 형성될 수 있다. 이후, 열처리 공정을 통하여 상기 일함수 조절 물질의 적어도 일부가 상기 고유전층(121)으로 확산될 수 있다. 이와는 달리, 상기 일함수 조절 물질을 포함하는 층의 형성은 수행되지 않을 수 있다.
상기 제 1 영역(R1)의 상기 고유전층(121) 상에 제 1 서브 일함수층(S1)이 형성될 수 있다. 상기 제 1 서브 일함수층(S1)은 상기 제 1 영역(R1)에 선택적으로 제공될 수 있다. 일 예로, 상기 제 1 서브 일함수층(S1)은 상기 기판(100)의 전 영역에 형성된 후, 상기 제 1 서브 일함수층(S1)을 제외한 영역들에서 제거될 수 있다. 또는 상기 제 1 서브 일함수층(S1)을 형성하기 전, 상기 제 1 영역(R1)을 노출하고, 그 외의 영역들을 덮는 마스크층이 형성될 수 있다. 상기 제 1 영역(R1) 이외에 형성된 제 1 서브 일함수층(S1)은 상기 마스크층과 함께 제거될 수 있다. 일 예로, 상기 제 1 서브 일함수층(S1)은 WSix, TiSiN, TiAlN, WN, TaSiN, TaAlN, TiSix, TaSix, Nb, 또는 V(x는 상수) 으로 형성될 수 있다.
상기 제 4 영역(R4)의 상기 고유전층(121) 상에 제 4 서브 일함수층(S4)이 형성될 수 있다. 상기 제 4 서브 일함수층(S4)은 상기 제 4 영역(R4)에 선택적으로 제공될 수 있다. 일 예로, 상기 제 4 서브 일함수층(S4) TiSiN, TaN, TiAlN, WSix, WN, TaSiN, TaAlN, Nb, 또는 V(x는 상수)을 포함하는 층으로 형성될 수 있다. 상기 제 4 서브 일함수층(S4)은 상기 제 1 서브 일함수층(S1)과 실질적으로 동일한 두께로 형성될 수 있다.
도 9를 참조하여, 상기 제 1 내지 제 5 영역들(R1-R5)에 제 2 서브 일함수층(S2)이 형성된 후, 상기 제 3 영역(R3)에서 상기 제 2 서브 일함수층(S2)이 제거될 수 있다. 그 결과, 상기 제 3 영역(R3)에서는 상기 고유전층(121)의 상면이 노출될 수 있다. 일 예로, 상기 제 2 서브 일함수층(S2)은 TaN, TiN, Mo, W, 또는 WC 을 포함하는 층으로 형성될 수 있다. 상기 제 2 서브 일함수층(S2)은 상기 제 1 서브 일함수층(S1)과 실질적으로 동일한 두께로 형성될 수 있다.
도 10을 참조하여, 상기 제 1 내지 제 5 영역들(R1-R5)에 제 3 서브 일함수층(S3)이 형성될 수 있다. 상기 제 3 서브 일함수층(S3)은 상기 제 1 서브 일함수층(S1)과 실질적으로 동일한 두께로 형성될 수 있다. 일 예로, 상기 제 3 서브 일함수층(S3)은 Pt, Ru, Ir, Ni, W, WC, WCN, TiN, TiCN, TiBN, Mo, MoN, NbN, 또는 VN를 포함하는 층으로 형성될 수 있다.
도 11을 참조하여, 상기 제 5 영역(R5) 상의 제 2 서브 일함수층(S2) 및 제 3 서브 일함수층(S3)이 제거될 수 있다. 일 예로, 상기 제 1 내지 제 4 영역들(R14-R4)을 덮는 마스크층을 형성 후, 상기 마스크층에 의하여 노출된 상기 제 5 영역(R5)의 층들을 식각할 수 있다. 그 결과, 상기 제 5 영역(R5)에서는 상기 고유전층(121)의 상면이 노출될 수 있다.
도 12를 참조하여, 상기 제 1 내지 제 5 영역들(R1-R5)에 차례로 제 2 일함수 금속층(NW) 및 상부 도전층(171)이 형성될 수 있다. 일 예로, 상기 제 2 일함수 금속층(NW)은 TiAl, TiAlC, TaAl, TaAlC, TiAlSi, TiAlSiC, Al 또는 AlC를 포함할 수 있다. 이와는 달리 상기 제 2 일함수 금속층(NW)은 TiSi, TiSiC, TaSi, TaSiC, Ti, TiC, Ta, 또는 TaC를 포함할 수 있다. 일 예로, 상기 상부 도전층(171)은 텅스텐으로 형성될 수 있다. 상기 상부 도전층(171)의 하면의 레벨은 각 영역들에서 서로 다를 수 있다. 이와는 달리 상기 상부 도전층(171)의 상면의 레벨은 각 영역들에서 서로 동일할 수 있다.
도 13은 본 발명의 실시예들에 따른 반도체 장치의 제조 방법을 설명하기 위한 단면도들이다. 본 실시예는 도 7a 및 도 7b에 따른 반도체 장치의 제조 방법이다.
도 13을 참조하면, 도 8을 참조하여 설명된 제 1 서브 일함수층(S1) 및 제 4 서브 일함수층(S4)을 형성하기 전, 상기 고유전층(121) 상에 캐핑 도전층(CM)을 형성할 있다. 상기 캐핑 도전층(CM)은 제 1 내지 제 5 영역(R1-R5)에 모두 형성되는 것으로 도시되었으나, 이와는 달리, 적어도 하나의 영역에서 상기 캐핑 도전층(CM)이 생략될 수 있다. 일 예로, 상기 캐핑 도전층(CM)은 TiN층으로 형성될 수 있다. 이 후, 공정은 도 8 내지 도 12를 참조하여 설명된 것과 동일할 수 있다.
도 14a는 본 발명의 실시예에 따른 트랜지스터의 평면도이다. 도 14b는 도 14a의 C-C'선 및 D-D'선에 따른 단면도이다. 본 실시예에 따른 트랜지스터들은 게이트 올 어라운드 형(gate all around type) 트랜지스터들일 수 있다. 일 예로, 본 실시예에 따른 상기 트랜지스터는 기판(100)과 이격된 복수의 채널 부분들(NS)을 포함하는 채널 영역(CH)을 포함할 수 있다. 게이트 절연층(120) 및 게이트 전극(GE)은 상기 복수의 채널 부분들(NS)의 외주면을 둘러쌀 수 있다. 상기 채널 영역(CH)을 사이에 두고 소오스/드레인 영역들(103)이 제공될 수 있다. 상기 소오스/드레인 영역과 상기 게이트 절연층(120) 사이에 배리어 절연 패턴들(106)이 제공될 수 있다. 일 예로, 상기 배리어 절연 패턴들(106)은 실리콘 산화물을 포함할 수 있다. 상기 게이트 전극(GE) 상에 캐핑 패턴(GP)이 제공될 수 있다. 상기 캐핑 패턴(GP)은 실리콘 산화막, 실리콘 질화막, 및 실리콘 산화질화막 중 적어도 하나로 형성될 수 있다. 상기 소오스/드레인 영역들(103)을 덮는 층간 절연막(123)이 제공될 수 있다.
상술한 제 1 내지 제 5 트랜지스터들(TR1-TR5)은 도 1 내지 도 6을 참조하여 설명된 형태의 핀형 트랜지스터 대신, 본 게이트 올 어라운드형 트랜지스터들로 대체될 수 있다. 상기 게이트 전극(GE)의 구체적인 구성 및 제조 방법은 도 1 내지 도 13을 참조하여 설명된 게이트 전극의 구성 및 제조 방법에 상응할 수 있다.
이상, 첨부된 도면을 참조하여 본 발명의 실시예를 설명하였지만, 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자는 본 발명이 그 기술적 사상이나 필수적인 특징을 변경하지 않고서 다른 구체적인 형태로 실시될 수 있다는 것을 이해할 수 있을 것이다. 그러므로 이상에서 기술한 실시예에는 모든 면에서 예시적인 것이며 한정적이 아닌 것으로 이해해야만 한다.

Claims (10)

  1. 기판 상에 제공되고 문턱 전압이 서로 다른 제 1 내지 제 3 트랜지스터들을 포함하고,
    상기 제 1 내지 제 3 트랜지스터들은 각각 게이트 절연층, 제 1 일함수 금속층, 및 제 2 일함수 금속층을 포함하고,
    상기 제 1 트랜지스터의 상기 제 1 일함수 금속층은 제 1 서브 일함수층을 포함하고,
    상기 제 2 트랜지스터의 상기 제 1 일함수 금속층은 제 2 서브 일함수층을 포함하고,
    상기 제 3 트랜지스터의 상기 제 1 일함수 금속층은 제 3 서브 일함수층을 포함하고,
    상기 제 1 내지 제 3 서브 일함수층들은 서로 다른 물질을 포함하고 서로 다른 일함수를 갖는 반도체 장치.
  2. 제 1 항에 있어서,
    상기 제 1 내지 제 3 서브 일함수층들은 각각 게이트 절연층의 상면과 접하는 반도체 장치.
  3. 제 1 항에 있어서,
    상기 제 1 내지 제 3 서브 일함수층들의 두께는 실질적으로 동일한 반도체 장치.
  4. 제 1 항에 있어서,
    상기 제 2 일함수 금속층의 유효 일함수는 상기 제 1 일함수 금속층의 유효 일함수 보다 작은 반도체 장치.
  5. 제 4 항에 있어서,
    상기 제 2 일함수 금속층은 알루미늄(Al)을 포함하는 반도체 장치.
  6. 제 1 항에 있어서,
    상기 제 2 서브 일함수층의 유효 일함수는 상기 제 1 서브 일함수층의 유효 일함수보다 크고,
    상기 제 3 서브 일함수층의 유효 일함수는 상기 제 2 서브 일함수층의 유효 일함수보다 큰 반도체 장치.
  7. 제 1 항에 있어서,
    상기 제 1 트랜지스터의 상기 제 1 일함수 금속층은 상기 제 1 서브 일함수층과 상기 제 2 일함수 금속층 사이에 제 2 서브 일함수층을 더 포함하는 반도체 장치.
  8. 제 7 항에 있어서,
    상기 제 1 트랜지스터의 상기 제 1 일함수 금속층은 상기 제 2 서브 일함수층과 상기 제 2 일함수 금속층 사이에 제 3 서브 일함수층을 더 포함하는 반도체 장치.
  9. 제 7 항에 있어서,
    상기 제 2 트랜지스터의 상기 제 1 일함수 금속층은 상기 제 2 서브 일함수층과 상기 제 2 일함수 금속층 사이에 제 3 서브 일함수층을 더 포함하는 반도체 장치.
  10. 기판 상에 제공되고 문턱 전압이 서로 다른 제 1 내지 제 3 트랜지스터들을 포함하고,
    상기 제 1 내지 제 3 트랜지스터들은 각각 게이트 절연층, 제 1 일함수 금속층, 및 제 2 일함수 금속층을 포함하고,
    상기 제 1 트랜지스터의 상기 제 1 일함수 금속층은 제 1 서브 일함수층을 포함하고,
    상기 제 2 트랜지스터의 상기 제 1 일함수 금속층은 제 2 서브 일함수층을 포함하고,
    상기 제 3 트랜지스터의 상기 제 1 일함수 금속층은 제 3 서브 일함수층을 포함하고,
    상기 제 1 내지 제 3 서브 일함수층들은 서로 다른 일함수를 갖고,
    상기 제 1 서브 일함수층은 WSix, TiSiN, TiAlN, WN, TaSiN, TaAlN, TiSix, TaSix, Nb, 또는 V(x는 상수)을 포함하고,
    상기 제 2 서브 일함수층은 TaN, TiN, Mo, W, 또는 WC을 포함하고,
    상기 제 3 서브 일함수층은 Pt, Ru, Ir, Ni, W, WC, WCN, TiN, TiCN, TiBN, Mo, MoN, NbN, 또는 VN를 포함하고,
    상기 제1 내지 제3 서브 일함수층들은 상기 물질들 중 서로 다른 물질을 포함하는 반도체 장치.

KR1020170177895A 2017-12-22 2017-12-22 반도체 장치 KR102589667B1 (ko)

Priority Applications (3)

Application Number Priority Date Filing Date Title
KR1020170177895A KR102589667B1 (ko) 2017-12-22 2017-12-22 반도체 장치
US16/116,295 US10784260B2 (en) 2017-12-22 2018-08-29 Semiconductor device
CN201811567096.4A CN109979937A (zh) 2017-12-22 2018-12-20 半导体器件

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020170177895A KR102589667B1 (ko) 2017-12-22 2017-12-22 반도체 장치

Publications (2)

Publication Number Publication Date
KR20190076251A KR20190076251A (ko) 2019-07-02
KR102589667B1 true KR102589667B1 (ko) 2023-10-17

Family

ID=66950640

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020170177895A KR102589667B1 (ko) 2017-12-22 2017-12-22 반도체 장치

Country Status (3)

Country Link
US (1) US10784260B2 (ko)
KR (1) KR102589667B1 (ko)
CN (1) CN109979937A (ko)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2020076710A1 (en) * 2018-10-08 2020-04-16 Applied Materials, Inc. Methods and apparatus for n-type metal oxide semiconductor (nmos) metal gate materials using atomic layer deposition (ald) processes with metal based precursors
US10872826B2 (en) 2018-10-31 2020-12-22 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method
US11282934B2 (en) * 2019-07-26 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for metal gate electrode and method of fabrication
US11081396B2 (en) * 2019-09-12 2021-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11374090B2 (en) * 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11489056B2 (en) * 2020-02-10 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with multi-threshold gate structure
US11152477B2 (en) 2020-02-26 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Transistors with different threshold voltages
US11444198B2 (en) * 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
KR102641124B1 (ko) * 2021-06-24 2024-02-28 한양대학교 산학협력단 원자층 증착법을 통해 일함수가 조절되는 반도체 소자의 게이트 구조체 및 그 형성방법
US11908702B2 (en) 2021-08-19 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices
US11581416B1 (en) * 2021-08-19 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in semiconductor devices

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US20170229461A1 (en) * 2016-02-10 2017-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284466A (ja) * 2000-03-29 2001-10-12 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US7504696B2 (en) 2006-01-10 2009-03-17 International Business Machines Corporation CMOS with dual metal gate
US8674451B2 (en) 2008-12-10 2014-03-18 Taiwan Semiconductor Manufacturing Company, Ltd. N/P metal crystal orientation for high-K metal gate Vt modulation
CN103077947A (zh) 2011-10-26 2013-05-01 中国科学院微电子研究所 具有双金属栅的cmos器件及其制造方法
JP5390654B2 (ja) 2012-03-08 2014-01-15 株式会社東芝 半導体装置の製造方法
CN103311247B (zh) * 2012-03-14 2016-07-13 中国科学院微电子研究所 半导体器件及其制造方法
KR20140028992A (ko) 2012-08-31 2014-03-10 에스케이하이닉스 주식회사 텅스텐 게이트전극을 구비한 반도체장치 및 그 제조 방법
KR20140106903A (ko) * 2013-02-27 2014-09-04 에스케이하이닉스 주식회사 트랜지스터, 이를 구비하는 가변 저항 메모리 장치 및 그의 제조방법
US9024392B2 (en) 2013-07-03 2015-05-05 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-port SRAM manufacturing
KR102155511B1 (ko) * 2013-12-27 2020-09-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
CN104821296B (zh) * 2014-01-30 2017-11-28 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
CN105514105B (zh) 2014-09-26 2019-08-06 联华电子股份有限公司 集成电路与其形成方法
KR102211254B1 (ko) * 2015-02-03 2021-02-04 삼성전자주식회사 반도체 장치 및 그 제조 방법
TWI635535B (zh) * 2015-03-10 2018-09-11 聯華電子股份有限公司 具有不同臨界電壓的金屬閘極的半導體製程及半導體結構
US20160351675A1 (en) 2015-05-26 2016-12-01 GlobalFoundries, Inc. Integrated circuits and methods for fabricating integrated circuits having replacement metal gate electrodes
KR102358318B1 (ko) * 2015-06-04 2022-02-04 삼성전자주식회사 멀티 일함수 게이트 패턴들을 갖는 반도체 소자
KR102290685B1 (ko) * 2015-06-04 2021-08-17 삼성전자주식회사 반도체 장치
US9553092B2 (en) * 2015-06-12 2017-01-24 Globalfoundries Inc. Alternative threshold voltage scheme via direct metal gate patterning for high performance CMOS FinFETs
US9698241B1 (en) 2016-03-16 2017-07-04 GlobalFoundries, Inc. Integrated circuits with replacement metal gates and methods for fabricating the same
CN108122852B (zh) * 2016-11-28 2019-11-01 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150357244A1 (en) * 2014-06-06 2015-12-10 Imec Vzw Method for manufacturing a semiconductor device comprising transistors each having a different effective work function
US20170229461A1 (en) * 2016-02-10 2017-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and a method for fabricating the same

Also Published As

Publication number Publication date
CN109979937A (zh) 2019-07-05
US20190198498A1 (en) 2019-06-27
US10784260B2 (en) 2020-09-22
KR20190076251A (ko) 2019-07-02

Similar Documents

Publication Publication Date Title
KR102589667B1 (ko) 반도체 장치
KR102316293B1 (ko) 반도체 장치
TWI715086B (zh) 製造半導體元件之方法以及半導體元件
CN103311247B (zh) 半导体器件及其制造方法
US9123746B2 (en) FinFETs with multiple threshold voltages
US20130256802A1 (en) Replacement Gate With Reduced Gate Leakage Current
US11367662B2 (en) Semiconductor devices and methods of forming the same
KR102481284B1 (ko) 반도체 장치의 제조 방법
CN109817702A (zh) 具有垂直沟道的半导体装置
US11233140B2 (en) Semiconductor device and manufacturing method thereof
US11380687B2 (en) Semiconductor devices including diffusion break regions
KR102403723B1 (ko) 반도체 장치 및 그의 제조 방법
TWI754266B (zh) 半導體裝置及其製造方法
US20200058774A1 (en) Method of manufacturing a semiconductor device
US11195917B2 (en) Semiconductor device
CN114765218A (zh) 半导体装置
TW202224185A (zh) 半導體裝置
CN110634802B (zh) 半导体结构及其形成方法
CN109390394B (zh) 穿隧场效晶体管及其制作方法
TW202027170A (zh) 半導體元件及其製造方法
US20230009388A1 (en) Semiconductor device with nano sheet transistor and method for fabricating the same
US20240128321A1 (en) Semiconductor device including blocking layer and source/drain structure
US11355615B2 (en) FinFET having fluorine-doped gate sidewall spacers
US20220077303A1 (en) Semiconductor structure and method for forming the same
KR20210086946A (ko) 반도체 디바이스 및 그 제조 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant