TW201318169A - 具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法 - Google Patents

具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法 Download PDF

Info

Publication number
TW201318169A
TW201318169A TW101100281A TW101100281A TW201318169A TW 201318169 A TW201318169 A TW 201318169A TW 101100281 A TW101100281 A TW 101100281A TW 101100281 A TW101100281 A TW 101100281A TW 201318169 A TW201318169 A TW 201318169A
Authority
TW
Taiwan
Prior art keywords
layer
metal
cap layer
gate dielectric
cap
Prior art date
Application number
TW101100281A
Other languages
English (en)
Inventor
Woo-Young Park
Kee-Jeung Lee
Yun-Hyuck Ji
Seung-Mi Lee
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of TW201318169A publication Critical patent/TW201318169A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

一種半導體裝置,其包含閘極堆疊結構,閘極堆疊結構包含在半導體基板上方的閘極介電層、形成在閘極介電層上方的金屬層、及形成在金屬層上方的蓋層,其中蓋層包含化學元素,化學元素在蓋層與金屬層之間的界面的濃度比蓋層的其他區域高且可用於控制閘極堆疊結構的有效功函數(eWF)。

Description

具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法
本案主張在2011年10月31日申請之韓國專利申請案第10-2011-0111831號的優先權,以引用的方式將其全文併入本文。
本發明之示範性實施例係關於一種半導體裝置,尤其是,關於具有金屬閘極及高介電常數介電材料之閘極堆疊結構,及包含它的半導體裝置。
通常,在互補式金氧半導體(CMOS)積體電路中,N通道金氧半導體(NMOS)及P通道金氧半導體(PMOS)包含由氧化矽(SiO2)或氮氧化矽(SiON)所形成的閘極介電層。在此,使用N型多晶矽層作為NMOS的閘極,及使用P型多晶矽層作為PMOS的閘極。
隨著半導體裝置被要求具有高整合度、高驅動速度、及低電力消耗,汲極電流要夠大,且儘管閘極介電層厚度減少還是要增加關閉電流(off-current)。
為了克服這樣的特點,正在發展一種方法:使用具有比氧化矽及氮氧化矽大的介電常數的材料作為閘極介電層。材料的範例包含具有介電常數大於3.9的高介電常數介電材料,高溫下展現優良的熱穩定性及具有其他有用的特徵。然而,高介電常數介電材料具有相容性問題,如費米能階釘紮(Fermi-level pinning)及閘極空乏(gate depletion),其可能在與多晶矽層的界面處發生。
作為克服這樣的特點的方法,正在發展具有插入金屬的多晶矽(MIPS)結構的閘極堆疊結構。具有MIPS結構的閘極堆疊結構包含插入在閘極介電層與多晶矽層之間的金屬層。當使用具有MIPS結構的閘極堆疊結構時,可控制因固定的電荷所造成的閘極空乏及臨界電壓變異(threshold voltage variation)。
然而,當使用金屬層作為閘極時,控制功函數(WF)是困難的。尤其是,金屬層的有效功函數(eWF)可能會被後續的用於形成源極/汲極的高溫退火製程退化。作為對抗退化的對策,已經使用氧化物蓋層來控制使用電負性原理的臨界電壓。然而,氧化物蓋層可能增加製程的數量,因而增加生產成本。
本發明之實施例係針對具有能獲得適當的臨界電壓的閘極堆疊結構的NMOS、半導體裝置、及其製造方法。
根據本發明之一實施例,一種半導體裝置,其包含:閘極堆疊結構,閘極堆疊結構包含形成在半導體基板上方的閘極介電層、形成在閘極介電層上方的金屬層、及形成在金屬層上方的蓋層,其中蓋層包含化學元素,化學元素在蓋層與金屬層之間的界面的濃度比蓋層的其他區域高且可用於控制閘極堆疊結構的有效功函數(eWF)。
根據本發明之另一實施例,一種半導體裝置,其包含:相互隔離且形成在半導體基板上方的N通道金氧半導體(NMOS)閘極堆疊結構、及P通道金氧半導體(PMOS)閘極堆疊結構。NMOS閘極堆疊結構包含閘極介電層、在閘極介電層上方的金屬層、及在金屬層上方的蓋層。蓋層包含化學元素,化學元素在蓋層與金屬層之間的界面的濃度比蓋層的其他區域高且可用於控制NMOS閘極堆疊結構的有效功函數(eWF)。
根據本發明之另一實施例,一種NMOS,其包含:半導體基板,係具有N通道;閘極堆疊結構,係包含形成在N通道上方的閘極介電層、形成在閘極介電層上方的金屬層、及蓋層,蓋層包含在金屬層與蓋層之間的界面的濃度比蓋層的其他區域高的硼,其中硼可用於控制閘極堆疊結構的有效功函數(eWF)。
根據本發明之另一實施例,一種製造半導體裝置之方法,其包含:將閘極介電層形成在半導體基板上方;將金屬層形成在閘極介電層上方;將蓋層形成在金屬層上方,蓋層包含用於控制有效功函數(eWF)的化學元素;藉由蝕刻蓋層、金屬層、及閘極介電層來形成閘極堆疊結構;及執行退火以形成在蓋層與金屬層之間的界面的化學元素濃度比蓋層的其他區域高。
根據本發明之另一實施例,一種製造半導體裝置之方法,其包含:將閘極介電層形成在半導體基板上方;將金屬層形成在閘極介電層上方;將蓋層形成在金屬層上方,其中蓋層包含用於控制有效功函數(eWF)的化學元素;藉由蝕刻蓋層、金屬層、及閘極介電層來形成閘極堆疊結構;藉由將不純物植入基板來形成源極/汲極;及執行退火以形成在蓋層與金屬層之間的界面的化學元素濃度比蓋層的其他區域高。
以下將參照隨附圖式更詳細地說明本發明的示範性實施例。然而,本發明可以不同的形式實施且不應被解釋為受本文所述的實施例限制。相反的,提供這些實施例是為了完整且完全地揭露本發明,並將本發明的範圍充分傳達給本發明所屬技術領域中具有通常知識者。整篇說明書,類似的元件符號代表所有不同圖式及本發明實施例中類似的元件。
圖式不必然是依比例繪製且在一些情況下為了清楚顯示實施例的特徵而予以放大。當指第一層為在第二層「上」或基板「上」時,並非僅指將第一層直接形成在第二層或基板上的情形,而是亦指在第一層與第二層或基板之間有第三層存在的情形。
如有效功函數(eWF)的電性特性係利用C-V(電容-電壓)及I-V(電流-電壓)測量來評估。在本發明之實施例中,eWF係利用閘極介電層及閘極的C-V測量來從平帶(flat band)評估/獲取。閘極材料的eWF可能會受閘極介電層的固定電荷、形成在界面的偶極、費米能階釘紮等影響。此與閘極材料唯一的WF不同。
第1圖係顯示根據本發明之第一實施例的閘極堆疊結構的圖。第1圖顯示NMOS的閘極堆疊結構。
參照第1圖,基板11包含電晶體區。在此,電晶體區係形成N通道金氧半導體場效電晶體(NMOSFET,之後稱為NMOS)處。
將閘極堆疊結構NG形成在基板11上方。閘極堆疊結構NG包含依序堆疊的閘極介電層13、金屬層14、及蓋層16。閘極堆疊結構NG進一步在閘極介電層13與基板11之間包含界面層12。界面層12可包含氧化矽。
基板11可包含由矽、鍺、及矽鍺(silicon germanium)所形成的基板,但不限於此。再者,可將整個基板11或一部分基板11置於應變下(例如,以便造成變形)。
閘極堆疊結構NG可詳細地描述如下。
首先,閘極介電層13包含具有高介電常數的材料(以下,稱為高介電常數介電材)。高介電常數介電材具有比通常用來作為閘極介電層的氧化矽(SiO2)的介電常數(約3.9)大的介電常數。再者,高介電常數介電層具有比氧化矽大得多的物理厚度及較小的等效氧化物厚度(EOT)。閘極介電層13包含含有如金屬氧化物、金屬矽酸鹽、或金屬矽酸鹽氮化物之材料的金屬。金屬氧化物包含含有如鉿(Hf)、鋁(Al)、鑭(La)、或鋯(Zr)之金屬的氧化物。金屬氧化物可包含氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鑭(LaO2)、氧化鋯(ZrO2)、或其組合。金屬矽酸鹽包含含有如Hf或Zr之金屬的矽酸鹽。金屬矽酸鹽可包含矽酸鉿(HfSiO)、矽酸鋯(ZrSiOx)、或其組合。金屬矽酸鹽氮化物係藉由氮與金屬矽酸鹽的反應獲得的材料。根據範例,閘極介電層13可包含金屬矽酸鹽氮化物。金屬矽酸鹽氮化物可包含氮化矽酸鉿(HfSiON)。當閘極介電層13係由金屬矽酸鹽氮化物形成時,可增加介電常數,及可在後續的熱製程期間抑制結晶化。根據範例,閘極介電層13可由具有9以上的介電常數的材料形成。
金屬層14包含如金屬、金屬氮化物、或金屬碳化物之金屬材料。例如,可使用鎢(W)、鉭(Ta)、鋁(Al)、釕(Ru)、鉑(Pt)、氮化鈦(TiN)、氮化鉭(TaN)、碳化鈦(TiC)、碳化鉭(TaC)、及其混合物。再者,金屬層14可包含上述材料的多重層。金屬層14成為NMOS的金屬閘極。
蓋層16用於防止金屬層14的氧化。蓋層16包含多晶矽或矽鍺(SiGe)。蓋層16包含集中在與金屬層14的界面的複數個化學元素15(即,化學元素15在界面處有比蓋層16的其他部分高的濃度)。複數個化學元素15用於減少閘極堆疊結構NG的eWF。複數個化學元素15包含硼。複數個化學元素15可具有如此高的密度以便在蓋層16與金屬層14之間的界面形成一層。當以如此高的密度分布複數個化學元素15時,會進一步增加eWF減少效果(eWF reduction effect)。複數個化學元素15可具有1020至1022原子/cm2的濃度。
在基板11內部,形成源極及汲極17及18。源極及汲極17及18具有植入其中的N型不純物。將N通道19形成在閘極堆疊結構NG下方、源極與汲極17及18之間的基板11中。
第1圖的閘極堆疊結構成為NMOS的閘極堆疊結構。閘極堆疊結構具有包含高介電常數介電材料及金屬閘極的MIPS結構。
在閘極堆疊結構NG中,將複數個化學元素15集中在金屬層14與蓋層16之間的界面。複數個化學元素15包含硼。將化學元素15集中在與金屬層15的界面而藉以減少閘極堆疊結構NG的eWF。具體而言,因為將硼集中在金屬層14與蓋層16之間的界面,因此可減少閘極堆疊結構NG的eWF獲得適合NMOS的eWF,且可將臨界電壓控制成適合NMOS者。在此,適合NMOS的eWF具有小於4.5eV的值。
第2A至2E圖係顯示製造根據本發明之第一實施例的半導體裝置的方法的圖。在本發明之第一實施例中,將說明製造NMOS之方法。製造NMOS之方法係藉由第一閘極製程來執行。第一閘極製程係指當製造具有高介電常數介電材料及金屬閘極的半導體裝置時,在完成閘極圖案化之後執行退火的製程。本發明不限於NMOS,但可應用於製造N通道FET之方法。
參照第2A圖,製備基板11。基板11係形成NMOS處。基板11可包含由矽、鍺、及矽鍺所形成的基板,但不限於此。在此,可將整個基板11或一部分基板11置於應變下。再者,雖未顯示,但基板11可包含透過任何合理的、適合的井形成製程所形成的井。因為基板11包含形成NMOS的區,因此井係P型井。為了形成P型井,可將如硼的P型不純物植入基板11。再者,雖未顯示,但可在井形成製程之後透過任何合理的、適合的通道離子植入製程形成N通道區。為了形成N通道區,可將如磷(P)或砷(As)的N型不純物植入基板11。
接著,將閘極介電層13形成在基板11上方。閘極介電層13至少包含高介電常數介電材料。再者,可在基板11與閘極介電層13之間進一步形成界面層12。
閘極介電層13可藉由以下方法形成。
首先,透過清洗製程移除在基板11表面上的原生氧化物。使用包含HF的溶液執行清洗製程。因執行了清洗製程,而移除了在基板11表面上的原生氧化物,且在基板11表面上的懸空鍵也被氫鈍化(passivated)。因此,在執行後續製程前抑制了原生氧化物的成長。
接著,形成界面層12。界面層12包含介電材料,例如,氧化矽(SiO2)或氮氧化矽(SiON)。界面層12用於改善基板11與閘極介電層13之間的界面特徵,藉以增進電子遷移率特徵。
其次,形成閘極介電層13。閘極介電層13包含高介電常數介電材料(以下,稱為高介電常數介電材)。高介電常數介電材料具有比通常用來作為閘極介電層的氧化矽(SiO2)的介電常數(約3.9)大的介電常數。再者,高介電常數介電材具有比氧化矽大得多的物理厚度及較小的等效氧化物厚度(EOT)。閘極介電層13可包含具有比界面層12大的介電常數的材料。
用來作為閘極介電層13的高介電常數介電材料包含含有如金屬氧化物、金屬矽酸鹽、或金屬矽酸鹽氮化物之材料的金屬。金屬氧化物包含含有如Hf、Al、La、或Zr之金屬的氧化物。金屬氧化物可包含氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鑭(LaO2)、氧化鋯(ZrO2)、或其組合。金屬矽酸鹽包含含有如Hf或Zr之金屬的矽酸鹽。金屬矽酸鹽可包含矽酸鉿(HfSiO)、矽酸鋯(ZrSiOx)、或其組合。金屬矽酸鹽氮化物係藉由氮與金屬矽酸鹽的反應獲得的材料。金屬矽酸鹽氮化物可包含氮化矽酸鉿(HfSiON)。當使用金屬矽酸鹽氮化物形成閘極介電層13時,可增加介電常數,及可在後續的熱製程期間抑制結晶化。可藉由例如任何合理的、適合的用於沉積材料的沉積技術來執行閘極介電層13的形成製程。例如,沉積技術可包含化學氣相沉積(CVD)、低壓CVD(LPCVD)、電漿輔助CVD(PECVD)、金屬有機CVD(MOCVD)、原子層沉積(ALD)、電漿輔助ALD(PEALD)等。根據範例,可使用PEALD形成均勻的薄膜。
根據範例,閘極介電層13可由具有9以上的介電常數的材料形成。再者,閘極介電層13可由Hf系材料形成。在此,Hf系材料包含氧化鉿(HfO2)、矽酸鉿(HfSiO)、及氮化矽酸鉿(HfSiON)。
參照第2B圖,將金屬層14形成在閘極介電層13上方。可將金屬層14形成在包含閘極介電層13的基板11的整個表面上方。金屬層14成為NMOS的金屬閘極。金屬層14包含金屬材料(即,金屬、金屬氮化物、或金屬碳氮化物)。例如,可將氮化鈦(TiN)、氮化碳鈦(TiCN)、氮化鋁鈦(TiAlN)、氮化矽鈦(TiSiN)、氮化鉭(TaN)、氮化碳鉭(TaCN)、氮化矽鉭(TaSiN)、氮化鈦鉭(TaTiN)、矽化鈦(TiSi)、氮化鉿(HfN)、及其混合物用於金屬層14。再者,金屬層14可包含上述材料的多重層。將金屬層14形成為0.1nm~4nm的厚度。當將金屬層14形成為如此小的厚度時,可減少eWF。
參照第2C圖,將含有用於控制eWF的複數個化學元素15的蓋層16形成在金屬層14上方。蓋層16作為氧化防止層以防止金屬層14的氧化。
複數個化學元素15包含元素以減少閘極堆疊結構的eWF。蓋層16包含防止金屬層14的氧化的材料。蓋層16包含含矽層。蓋層16包含多晶矽或矽鍺(SiGe)。因為化學元素15係用於減少eWF的元素,因此蓋層16包含掺雜有複數個化學元素15的多晶矽或矽鍺。複數個化學元素15可包含硼。
因此,蓋層16包含掺雜硼的多晶矽或掺雜硼的SiGe。
當形成蓋層16時可當場(in-situ)掺雜複數個化學元素15。例如,當蓋層16包含SiGe時,使用含硼氣體以在沉積用於蓋層16的SiGe期間當場掺雜硼。如此一來,因為在沉積SiGe的期間使用硼作為掺雜劑,因此在蓋層16內的硼可有均勻的濃度。在另一實施例中,在沉積用於蓋層16的SiGe期間,可使用含硼氣體來現場掺雜硼使得蓋層具有硼的濃度梯度。
在溫度450℃以下的爐中沉積蓋層16。為了掺雜複數個化學元素15,可在蓋層16的沉積期間使用矽源、鍺源、或含硼源作為反應氣體。矽源包含SiH4,鍺源包含GeH4,及含硼源包含BCl4。當蓋層16為多晶矽層時,使用矽源及含硼源作為反應氣體來掺雜化學元素15。
當施加SiGe作為蓋層16時,可防止金屬層14及閘極介電層13的退化。可藉由SiGe中之鍺的存在來將製程溫度降低至450℃以下,其防止金屬層14及閘極介電層13的退化。再者,當施加SiGe時,可以硼來控制eWF且亦可以硼及鍺的濃度調整來控制eWF。
根據上述說明,當形成蓋層16時,掺雜能控制eWF的複數個化學元素15。尤其是,用來作為化學元素15的硼減少NMOS的閘極堆疊結構的eWF。在此,複數個化學元素15可具有1020至1022原子/cm2的濃度。
參照第2D圖,使用閘極遮罩(未顯示)執行閘極圖案化製程。執行閘極圖案化製程而接連地蝕刻蓋層16、金屬層14、閘極介電層13、及界面層12。
於是,將閘極堆疊結構形成在基板11上方。閘極堆疊結構包含被接連地堆疊的閘極介電層13、金屬層14、及蓋層16。閘極堆疊結構進一步包含形成在閘極堆疊結構13下方的界面層12。閘極堆疊結構成為NMOS的閘極堆疊結構。再者,在閘極堆疊結構中之蓋層16具有掺雜其中的複數個化學元素15。
在閘極圖案化製程之後,可執行本發明所屬技術領域中習知的製程。例如,可執行源極/汲極形成製程等。源極及汲極17及18掺雜有如P或As的N型不純物。N型源極及汲極17及18係形成為在它們之間插入N通道19,且將閘極堆疊結構形成在N通道19上方。
參照第2E圖,執行退火20以活性化掺雜在源極及汲極17及18的不純物。在此,退火20包含快速熱退火(RTA)。可在900~1100℃的溫度下執行退火20。
分布在蓋層16內的複數個化學元素15係藉由退火20而集中在與金屬層14的界面。即,複數個化學元素15係集中在金屬層14與蓋層16之間的界面。因為化學元素15包含硼,因此硼係集中在金屬層14與蓋層16之間的界面。複數個化學元素15可具有如此高的密度以便在蓋層16與金屬層14之間的界面形成層。如此一來,當以高密度分布複數個化學元素15時,會進一步增加eWF減少效果。在此,複數個化學元素15可具有1020至1022原子/cm2的濃度。
複數個化學元素15係集中在與金屬層14的界面,藉此減少閘極堆疊結構的eWF。
具體而言,當將可用於作為化學元素15的硼集中在金屬層14與蓋層16之間的界面時,可減少閘極堆疊結構的eWF以控制NMOS的臨界電壓。在此,因為將化學元素15集中在與金屬層14的界面,因此可獲得適合NMOS的eWF(低於4.5eV)。
在本發明之第一實施例中,當形成金屬層14時不需使用不耐高溫的NMOS型金屬層。即,因為形成了能控制eWF的化學元素15,因此使用容易製造之具有中間隙eWF(約4.5ev)的金屬層。如此一來,雖然使用具有中間隙eWF的金屬層14,但是可透過使用複數個化學元素15來獲得eWF減少效果。再者,當在減少金屬層厚度的狀態下使用具有中間隙eWF的金屬層時,進一步增加eWF減少效果。
在本發明之第一實施例中,因為可藉由閘極堆疊結構的eWF減少來控制臨界電壓,因此不需要用於控制臨界電壓的覆蓋氧化物(capping oxide)。因此,可減少生產成本。
第3圖係顯示根據本發明之第一實施例的變形例的半導體裝置的圖。閘極堆疊結構NG可進一步包含形成在蓋層16上的低電阻金屬層21。低電阻金屬層21可包含W。低電阻金屬層21用於降低閘極電阻。低電阻金屬層21可包含W、Ti、Co、Al、Ta、Hf、及任何前述元素的氮化物或矽化物。在形成低電阻金屬層21之後,執行閘極圖案化。接著,執行源極/汲極的形成及退火。
第4圖係顯示根據本發明之第二實施例的閘極堆疊結構的圖。第4圖顯示NMOS的閘極堆疊結構。
參照第4圖,基板31包含電晶體區。在此,電晶體區係形成NMOS處。
將閘極堆疊結構NG形成在基板31上方。閘極堆疊結構NG包含依序堆疊的閘極介電層33、金屬層34、第一蓋層36、及第二蓋層37。閘極堆疊結構NG進一步在閘極介電層33與基板31之間包含界面層32。界面層32可包含氧化矽。
基板31可包含由矽、鍺、及矽鍺所形成的基板,但不限於此。在此,可將整個基板31或一部分基板31置於應變下。
閘極堆疊結構NG可詳細地描述如下。
首先,閘極介電層33包含高介電常數介電材。高介電常數介電材具有比通常用來作為閘極介電層的氧化矽(SiO2)的介電常數(約3.9)大的介電常數。再者,高介電常數介電材具有比氧化矽大得多的物理厚度及較小的等效氧化物厚度(EOT)。閘極介電層33包含含有如金屬氧化物、金屬矽酸鹽、或金屬矽酸鹽氮化物之材料的金屬。金屬氧化物包含含有如Hf、Al、La、或Zr之金屬的氧化物。金屬氧化物可包含氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鑭(LaO2)、氧化鋯(ZrO2)、或其組合。金屬矽酸鹽包含含有如Hf或Zr之金屬的矽酸鹽。金屬矽酸鹽可包含矽酸鉿(HfSiO)、矽酸鋯(ZrSiOx)、或其組合。金屬矽酸鹽氮化物係藉由在金屬矽酸鹽中含有氮獲得的材料。根據範例,閘極介電層33可包含金屬矽酸鹽氮化物。金屬矽酸鹽氮化物可包含氮化矽酸鉿(HfSiON)。當閘極介電層33係由金屬矽酸鹽氮化物形成時,可增加介電常數,及可在後續的熱製程期間抑制結晶化。根據範例,閘極介電層33可由具有9以上的介電常數的材料形成。
金屬層34包含如金屬、金屬氮化物、或金屬碳化物之金屬材料。例如,可使用鎢(W)、鉭(Ta)、鋁(Al)、釕(Ru)、鉑(Pt)、氮化鈦(TiN)、氮化鉭(TaN)、碳化鈦(TiC)、碳化鉭(TaC)、及其混合物。再者,金屬層34可包含上述材料的多重層。金屬層34成為NMOS的金屬閘極。
第一蓋層36及第二蓋層37用於防止金屬層34的氧化。第一及第二蓋層36及37包含多晶矽或SiGe。第一蓋層36包含集中在與金屬層34的界面的複數個化學元素35(即,在界面處有比金屬層34的其他區域高的濃度)。複數個化學元素35用於減少閘極堆疊結構NG的eWF。複數個化學元素35包含硼。複數個化學元素35可具有如此高的密度以便在第一蓋層36與金屬層34之間的界面形成一層。當以如此高的密度分布複數個化學元素35時,會進一步增加eWF減少效果。在此,複數個化學元素35可具有1020至1022原子/cm3的濃度。
在基板31內部,形成源極及汲極38及39。源極及汲極38及39具有植入其中的N型不純物。將N通道40形成在閘極堆疊結構NG下方、源極與汲極38及39之間的基板31中。
第4圖的閘極堆疊結構成為NMOS的閘極堆疊結構。閘極堆疊結構具有包含高介電常數介電材料及金屬閘極的MIPS結構。
在閘極堆疊結構NG中,將複數個化學元素35集中在金屬層34與第一蓋層36之間的界面。複數個化學元素35包含硼。將化學元素35集中在與金屬層34的界面而藉以減少閘極堆疊結構NG的eWF。具體而言,因為將硼集中在金屬層34與第一蓋層36之間的界面,因此可減少閘極堆疊結構NG的eWF獲得適合NMOS的eWF,且可將臨界電壓控制成適合NMOS者。在此,適合NMOS的eWF小於4.5eV。
第5A至5F圖係顯示製造根據本發明之第二實施例的半導體裝置的方法的圖。在本發明之第二實施例中,將說明製造NMOS之方法。製造NMOS之方法係藉由第一閘極製程來執行。本發明不限於NMOS,但可應用於製造N通道FET之方法。
參照第5A圖,製備基板31。基板31係形成NMOS處。基板31可包含由矽、鍺、及矽鍺所形成的基板,但不限於此。在此,可將整個基板31或一部分基板31置於應變下。再者,雖未顯示,但基板31可包含透過任何合理的、適合的井形成製程所形成的井。因為基板31包含形成NMOS的區,因此井係P型井。為了形成P型井,可將如硼的P型不純物植入基板31。再者,雖未顯示,但可在井形成製程之後透過任何合理的、適合的通道離子植入製程形成N通道區。為了形成N通道區,可將如P或As的N型不純物植入基板31。
接著,將閘極介電層33形成在基板31上方。閘極介電層33至少包含高介電常數介電材料。再者,可在基板31與閘極介電層33之間進一步形成界面層32。
閘極介電層33可藉由以下方法形成。
首先,透過清洗製程移除在基板31表面上的原生氧化物。使用包含HF的溶液執行清洗製程。因執行了清洗製程,而移除了在基板31表面上的原生氧化物,且在基板31表面上的懸空鍵也被氫鈍化。因此,在執行後續製程前抑制了原生氧化物的成長。
接著,形成界面層32。界面層32包含介電材料,例如,氧化矽(SiO2)或氮氧化矽(SiON)。界面層32用於改善基板31與閘極介電層33之間的界面特徵,藉以增進電子遷移率特徵。
其次,形成閘極介電層33。閘極介電層33包含高介電常數介電材料。高介電常數介電材料具有比通常用來作為閘極介電層的氧化矽(SiO2)的介電常數(約3.9)大的介電常數。再者,高介電常數介電材料具有比氧化矽大得多的物理厚度及較小的等效氧化物厚度(EOT)。閘極介電層33可包含具有比界面層32大的介電常數的材料。
用來作為閘極介電層33的高介電常數介電材料包含含有如金屬氧化物、金屬矽酸鹽、或金屬矽酸鹽氮化物之材料的金屬。金屬氧化物包含含有如Hf、Al、La、或Zr之金屬的氧化物。金屬氧化物可包含氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鑭(LaO2)、及氧化鋯(ZrO2)、或其組合。金屬矽酸鹽包含含有如Hf或Zr之金屬的矽酸鹽。金屬矽酸鹽可包含矽酸鉿(HfSiO)、矽酸鋯(ZrSiOx)、或其組合。金屬矽酸鹽氮化物係藉由氮與金屬矽酸鹽的反應獲得的材料。金屬矽酸鹽氮化物可包含氮化矽酸鉿(HfSiON)。當使用金屬矽酸鹽氮化物形成閘極介電層33時,可增加介電常數,及可在後續的熱製程期間抑制結晶化。可藉由例如任何合理的、適合的用於沉積材料的沉積技術來執行閘極介電層33的形成製程。例如,沉積技術可包含化學氣相沉積(CVD)、低壓CVD(LPCVD)、電漿輔助CVD(PECVD)、金屬有機CVD(MOCVD)、原子層沉積(ALD)、電漿輔助ALD(PEALD)等。根據範例,可使用PEALD形成均勻的薄膜。
根據範例,閘極介電層33可由具有9以上的介電常數的材料形成。再者,閘極介電層33可由Hf系材料形成。在此,Hf系材料包含氧化鉿(HfO2)、矽酸鉿(HfSiO)、及氮化矽酸鉿(HfSiON)。
參照第5B圖,將金屬層34形成在閘極介電層33上方。金屬層34成為NMOS的金屬閘極。金屬層34包含金屬材料(即,金屬、金屬氮化物、或金屬碳氮化物)。例如,可將氮化鈦(TiN)、氮化碳鈦(TiCN)、氮化鋁鈦(TiAlN)、氮化矽鈦(TiSiN)、氮化鉭(TaN)、氮化碳鉭(TaCN)、氮化矽鉭(TaSiN)、氮化鈦鉭(TaTiN)、矽化鈦(TiSi)、氮化鉿(HfN)、及其混合物用於金屬層34。再者,金屬層34可包含上述材料的多重層。在本發明之第二實施例中,使用TiN作為金屬層34。將金屬層34形成為0.1nm~4nm的厚度。當將金屬層34形成為如此小的厚度時,可有效地減少eWF。
參照第5C圖,將含有用於控制eWF的複數個化學元素35的第一蓋層36形成在金屬層34上方。蓋層36作為氧化防止層以防止金屬層34的氧化。
複數個化學元素35包含元素以減少eWF。第一蓋層36包含防止金屬層34的氧化的材料。第一蓋層36包含含矽層。第一蓋層36包含多晶矽或矽鍺(SiGe)。因為化學元素35係用於減少eWF的元素,因此第一蓋層36包含掺雜有複數個化學元素35的多晶矽或矽鍺。複數個化學元素35可包含硼。複數個化學元素35可具有1020至1022原子/cm2的濃度。
因此,第一蓋層36包含掺雜硼的多晶矽或掺雜硼的SiGe。
當形成蓋層36時可當場掺雜複數個化學元素35。例如,當第一蓋層16包含SiGe時,使用含硼氣體以在沉積用於第一蓋層36的SiGe期間當場掺雜硼。
在溫度450℃以下的爐中沉積第一蓋層36。可在第一蓋層36的沉積期間使用矽源、鍺源、或含硼源作為反應氣體。矽源包含SiH4,鍺源包含GeH4,及含硼源包含BCl4。當第一蓋層36為多晶矽層時,使用矽源及含硼源作為反應氣體來掺雜化學元素35。
根據上述說明,當形成第一蓋層36時,當場掺雜能控制閘極堆疊結構的eWF的複數個化學元素35。
當施加SiGe層作為第一蓋層36時,防止金屬層34及閘極介電層33的退化。可藉由SiGe層中之鍺的存在來將製程溫度降低至450℃以下,其防止金屬層34及閘極介電層33的退化。再者,當施加SiGe層時,可以硼來控制eWF且亦可以硼及鍺的濃度調整來控制eWF。
參照第5D圖,將第二蓋層37形成在第一蓋層36上方。第一及第二蓋層36及37可由相同的材料形成。然而,第二蓋層37未掺雜有化學元素35因而不會包含在第二蓋層與第一蓋層36之間的界面濃度比第二蓋層37的其他區域高的化學元素35。第二蓋層37包含防止金屬層34氧化的材料。第二蓋層37包含含矽層。第二蓋層37包含多晶矽或SiGe。第二蓋層37包含未經掺雜的多晶矽或未經掺雜的SiGe。
在溫度450℃以下的爐中沉積第二蓋層37。可在第二蓋層37沉積期間使用矽源及鍺源作為反應氣體。矽源包含SiH4,及鍺源包含GeH4。當第二蓋層37為多晶矽層時,使用矽源作為反應氣體形成第二蓋層37。
同時,在沉積後,可藉由離子植入來使第二蓋層37掺雜有如P的不純物。此時,因為藉由離子植入來植入不純物,因此可將它們均勻地分布在第二蓋層37。
根據本發明之第二實施例,將第一蓋層36形成在金屬層34與第二蓋層37之間。第一蓋層36包含複數個化學元素35。複數個化學元素35減少閘極堆疊結構的eWF。
雖未顯示,但根據本發明之第二實施例的變形例,可將低電阻金屬層形成在第二蓋層37上方。低電阻金屬層可包含W。低電阻金屬層用於減少閘極電阻。低電阻金屬層可包含W、Ti、Co、Al、Ta、Hf、及任何前述元素的氮化物或矽化物。
參照第5E圖,使用閘極遮罩(未顯示)執行閘極圖案化製程。執行閘極圖案化製程而接連地蝕刻第二蓋層37、第一蓋層36、金屬層34、閘極介電層33、及界面層32。
於是,將閘極堆疊結構形成在基板31上方。閘極堆疊結構包含被接連地堆疊的閘極介電層33、金屬層34、第一蓋層36、及第二蓋層37。閘極堆疊結構進一步包含形成在閘極介電層33下方的界面層32。閘極堆疊結構成為NMOS的閘極堆疊結構。再者,閘極堆疊結構包含掺雜有複數個化學元素15的第一蓋層36。
在閘極圖案化製程之後,可執行本發明所屬技術領域中習知的製程。例如,可執行源極/汲極形成製程等。源極及汲極38及39掺雜有如P或As的N型不純物。N型源極及汲極38及39係形成為在它們之間插入N通道40,且將閘極堆疊結構NG形成在N通道40上方。
參照第5F圖,執行退火41以活性化掺雜在源極及汲極38及39的不純物。在此,退火41包含快速熱退火(RTA)。可在900~1100℃的溫度下執行退火41。
分布在第一蓋層36內的複數個化學元素35係藉由退火41而集中在與金屬層34的界面。即,複數個化學元素35係集中在與金屬層34的界面。因為化學元素35包含硼,因此硼係集中在與金屬層34的界面。複數個化學元素35可具有如此高的密度以便在第一蓋層36與金屬層34之間的界面形成一層。如此一來,當以高密度分布複數個化學元素35時,會進一步增加eWF減少效果。在此,複數個化學元素35可具有1020至1022原子/cm2的濃度。
複數個化學元素35係集中在與金屬層34的界面,藉此減少閘極堆疊結構的eWF。
具體而言,當將作為化學元素35的硼集中在與金屬層34的界面時,可減少閘極堆疊結構的eWF以控制NMOS的臨界電壓。此外,因為將化學元素35集中在與金屬層34的界面,因此可獲得適合NMOS的eWF(低於4.5eV)。
第6圖係顯示包含根據本發明之實施例的NMOS的CMOS積體電路的圖。
參照第6圖,基板50包含第一區NMOS及第二區PMOS,它們被隔離區51隔離。第一區係形成NMOS處,且第二區係形成PMOS處。基板50可包含由矽、鍺、及矽鍺所形成的基板,但不限於此。再者,可將整個基板50或一部分基板50置於應變下。
將第一閘極堆疊結構NG形成在第一區NMOS的基板50上方,及將第二閘極堆疊結構PG形成在第二區PMOS的基板50上方。
第一閘極堆疊結構NG包含依序堆疊的閘極介電層53、金屬層54、蓋層56、及低電阻金屬層57。將複數個化學元素55集中在與金屬層54的界面。將N通道N形成在第一閘極堆疊結構NG下方的基板50中。第一閘極堆疊結構NG進一步在閘極介電層53與基板50之間包含界面層52。界面層52可包含氧化矽。
第二閘極堆疊結構PG包含依序堆疊的閘極介電層53A、金屬層54A、蓋層56A、及低電阻金屬層57A。將P通道P形成在第二閘極堆疊結構PG下方的基板50中。第二閘極堆疊結構PG進一步在閘極介電層53A與基板50之間包含界面層52A。界面層52A可包含氧化矽。
第一及第二閘極堆疊結構NG及PG可詳細地描述如下。
首先,閘極介電層53及53A包含高介電常數介電材料。高介電常數介電材料具有比通常用來作為閘極介電層的氧化矽(SiO2)的介電常數(約3.9)大的介電常數。再者,高介電常數介電材具有比氧化矽大得多的物理厚度及較小的等效氧化物厚度(EOT)。閘極介電層53及53A包含含有如金屬氧化物、金屬矽酸鹽、或金屬矽酸鹽氮化物之材料的金屬。金屬氧化物包含含有如Hf、Al、La、或Zr之金屬的氧化物。金屬氧化物可包含氧化鉿(HfO2)、氧化鋁(Al2O3)、氧化鑭(LaO2)、氧化鋯(ZrO2)、或其組合。金屬矽酸鹽包含含有如Hf或Zr之金屬的矽酸鹽。金屬矽酸鹽可包含矽酸鉿(HfSiO)、矽酸鋯(ZrSiOx)、或其組合。金屬矽酸鹽氮化物係藉由在金屬矽酸鹽中含有氮獲得的材料。根據範例,閘極介電層53及53A可包含金屬矽酸鹽氮化物。金屬矽酸鹽氮化物可包含氮化矽酸鉿(HfSiON)。當閘極介電層53及53A係由金屬矽酸鹽氮化物形成時,可增加介電常數,及可在後續的熱製程期間抑制結晶化。根據範例,閘極介電層53及53A可由具有9以上的介電常數的材料形成。
金屬層54及54A包含如金屬、金屬氮化物、或金屬碳化物之金屬材料。例如,可使用鎢(W)、鉭(Ta)、鋁(Al)、釕(Ru)、鉑(Pt)、氮化鈦(TiN)、氮化鉭(TaN)、碳化鈦(TiC)、碳化鉭(TaC)、及其混合物。再者,金屬層54及54A可包含上述材料的多重層。金屬層54及54A成為NMOS及PMOS的金屬閘極。
蓋層56及56A用於防止金屬層54及54A的氧化。蓋層56及56A包含多晶矽或SiGe。在第一閘極堆疊結構NG中,蓋層56包含集中在與金屬層54的界面的複數個化學元素55。複數個化學元素55用於減少第一閘極堆疊結構NG的eWF。複數個化學元素55包含硼。在此,複數個化學元素55可具有1020至1022原子/cm3的濃度。
在第一區NMOS的基板50內部,形成N型源極及汲極58A及58B。N型源極及汲極58A及58B具有植入其中的N型不純物。將N通道N形成在第一閘極堆疊結構NG下方、N型源極及汲極58A及58B之間的基板50中。
在第二區PMOS的基板50內部,形成P型源極及汲極59A及59B。P型源極及汲極59A及59B具有植入其中的P型不純物。將P通道P形成在第二閘極堆疊結構PG下方、P型源極及汲極59A及59B之間的基板50中。
參照第6圖,第一閘極堆疊結構NG成為NMOS的閘極堆疊結構,且第二閘極堆疊結構PG成為PMOS的閘極堆疊結構。第一及第二閘極堆疊結構NG及PG具有包含高介電常數介電材料及金屬閘極的MIPS結構。
在第一閘極堆疊結構中,將複數個化學元素55集中在金屬層54與蓋層56之間的界面。複數個化學元素55包含硼。將化學元素55集中在與金屬層54的界面而藉以減少第一閘極堆疊結構NG的eWF。於是,可將臨界電壓控制成適合NMOS者。
同時,雖未顯示,可藉由參照熟知方法來執行用於控制PMOS的臨界電壓的方法。例如,方法可包含將鍺植入通道的方法、及施加具有適合PMOS的WF的金屬作為金屬層的方法。
第7圖係顯示根據本發明之實施例的平帶電壓的變異(variation)的圖表。第7圖顯示平帶電壓Vfb與電容等效厚度(CET)的圖表。第7圖顯示藉由在金屬層上方形成掺雜有硼的SiGe層所得到的結果。製造分別具有4.4eV、4.7eV、及4.8eV的eWF的三個樣品1至3作為閘極堆疊結構。
參照第7圖,能看出當執行快速熱退火(RTA)時,改變了樣品1至3的平帶電壓Vfb。在此,眾所周知的是臨界電壓Vt會因應平帶電壓Vfb的變異而改變。因此,當應用根據本發明的實施例的方法時,可將臨界電壓控制成適合NMOS。
表1對比地顯示退火前的eWF與退火後的eWF。
根據表1,退火後,樣品1至3的eWF會減少約0.2eV。
透過表1,能看出,雖然NMOS的閘極堆疊結構使用具有中間隙WF(約4.5eV)的金屬作為金屬層,但是因為在閘極堆疊結構中硼被集中在與金屬層的界面,因此eWF被減少約0.2eV。因此,雖然使用具有熟知的中間隙WF的金屬作為金屬閘極,但可獲得適合NMOS的WF。
第8圖係顯示二次離子質譜儀(SIMS)分析結果的圖表,其係在對根據本發明之實施例的閘極堆疊結構執行退火製程後得到的。第8圖顯示藉由將掺雜有硼的SiGe層形成在金屬層上方的結果。
參照第8圖,能看出在退火前(w/o RTA)硼11B被均勻地分布在SiGe層中,但在退火後(w/RTA)硼11B被大量集中在SiGe層與金屬層之間的界面。在此,硼可具有1020至1022原子/cm2的濃度。可在900~1,100℃的溫度下執行退火。第8圖顯示在1,000℃的溫度下施加RTA的情形。
可將根據本發明之實施例的NMOS施加至CMOS積體電路。CMOS積體電路具有至少一個NMOS及PMOS,且每個NMOS及PMOS具有包含高介電常數介電材料的閘極堆疊結構及金屬閘極。NMOS的閘極堆疊結構包含根據本發明之實施例的閘極堆疊結構。
可將根據本發明之實施例的NMOS應用至各種半導體裝置。半導體裝置可包含動態隨機存取記憶體(DRAM)。不限於此,半導體裝置可包含靜態隨機存取記憶體(SRAM)、快閃記憶體、鐵電性隨機存取記憶體(FeRAM)、磁性隨機存取記憶體(MRAM)、及相變化隨機存取記憶體(PRAM)。
上述半導體裝置的示範性產品可包含具有各種規格的繪圖記憶體及行動裝置記憶體,以及用於桌上型電腦、筆記型電腦、及伺服器的計算記憶體。再者,可將半導體裝置用於攜帶型儲存媒體,如記憶條、MMC、SD、CF、xD圖片卡、及USB快閃裝置,且亦可用於各種數位應用裝置,如MP3、PMP、數位相機、攝影機、及行動電話。再者,可將半導體裝置應用至多晶片封裝(MCP)、晶片上磁碟(DOC,disk on chip)、及嵌入式裝置。再者,可將半導體裝置應用至CMOS影像感測器(CIS)及應用至各種其他領域,如相機電話、網路相機、及醫療小型化影像裝置。
根據本發明之實施例,將複數個化學元素分布在包含高介電常數介電材料及金屬層的閘極堆疊結構中之金屬層上方,藉此減少閘極堆疊結構的eWF。因此,可獲得適當的臨界電壓。
再者,可在不必使用不耐高溫製程及增加製程複雜度的金屬層下、及在不必使用會增加製造成本的覆蓋氧化物下,控制NMOS的臨界電壓。
雖然已就特定實施例說明了本發明,但是對本發明所屬技術領域中具有通常知識者而言,可在不背離如下面申請專利範圍所定義之發明的精神及範圍下作出各種變更及修飾。
NG...閘極堆疊結構、第一閘極堆疊結構
PG...第二閘極堆疊結構
N...N通道
P...P通道
NMOS...第一區
PMOS...第二區
11...基板
11B...硼
12...界面層
13...閘極介電層
14...金屬層
15...化學元素
16...蓋層
17...源極
18...汲極
19...N通道
20...退火
21...低電阻金屬層
31...基板
32...界面層
33...閘極介電層
34...金屬層
35...化學元素
36...第一蓋層
37...第二蓋層
38...源極
39...汲極
40...N通道
41...退火
50...基板
51...隔離區
52、52A...界面層
53、53A...閘極介電層
54、54A...金屬層
55...化學元素
56、56A...蓋層
57、57A...低電阻金屬層
58A...N型源極
58B...N型汲極
59A...P型源極
59B...P型汲極
第1圖係顯示根據本發明之第一實施例的閘極堆疊結構的圖。
第2A至2E圖係顯示製造根據本發明之第一實施例的NMOS的方法的圖。
第3圖係顯示根據本發明之第一實施例的變形例的閘極堆疊結構的圖。
第4圖係顯示根據本發明之第二實施例的閘極堆疊結構的圖。
第5A至5F圖係顯示製造根據本發明之第二實施例的NMOS的方法的圖。
第6圖係顯示包含根據本發明之實施例的NMOS的CMOS積體電路的圖。
第7圖係顯示根據本發明之實施例的平帶電壓的變異的圖表。
第8圖係顯示二次離子質譜儀(SIMS)分析結果的圖表,其係在對根據本發明之實施例的閘極堆疊結構執行退火製程後得到的。
NG...閘極堆疊結構
11...基板
12...界面層
13...閘極介電層
14...金屬層
15...化學元素
16...蓋層
17...源極
18...汲極
19...N通道

Claims (31)

  1. 一種半導體裝置,其包含:閘極堆疊結構,該閘極堆疊結構包含形成在半導體基板上方的閘極介電層、形成在該閘極介電層上方的金屬層、及形成在該金屬層上方的蓋層,其中該蓋層包含化學元素,該化學元素在該蓋層與該金屬層之間的界面的濃度比該蓋層的其他區域高且可用於控制該閘極堆疊結構的有效功函數(eWF)。
  2. 如申請專利範圍第1項之半導體裝置,其中該化學元素包含硼。
  3. 如申請專利範圍第1項之半導體裝置,其中該蓋層包含多晶矽或矽鍺(SiGe)。
  4. 如申請專利範圍第1項之半導體裝置,其進一步包含形成在該閘極介電層與該半導體基板之間的界面層,其中,該閘極介電層具有比該界面層大的介電常數。
  5. 如申請專利範圍第4項之半導體裝置,其中該界面層包含氧化矽且該閘極介電層具有比該氧化矽大的介電常數。
  6. 如申請專利範圍第1項之半導體裝置,其中該閘極堆疊結構成為N通道金氧半導體(NMOS)的閘極堆疊結構。
  7. 一種半導體裝置,其包含:相互隔離且形成在半導體基板上方的N通道金氧半導體(NMOS)閘極堆疊結構、及P通道金氧半導體(PMOS)閘極堆疊結構,其中該NMOS閘極堆疊結構包含閘極介電層、在該閘極介電層上方的金屬層、及在該金屬層上方的蓋層,該蓋層包含化學元素,該化學元素在該蓋層與該金屬層之間的界面的濃度比該蓋層的其他區域高且可用於控制該NMOS閘極堆疊結構的有效功函數(eWF)。
  8. 如申請專利範圍第7項之半導體裝置,其中該化學元素包含硼。
  9. 如申請專利範圍第7項之半導體裝置,其中該蓋層包含多晶矽或SiGe。
  10. 如申請專利範圍第7項之半導體裝置,其進一步包含形成在該閘極介電層與該半導體基板之間的界面層,其中,該閘極介電層具有比該界面層大的介電常數。
  11. 如申請專利範圍第10項之半導體裝置,其中該界面層包含氧化矽且該閘極介電層具有比該氧化矽大的介電常數。
  12. 一種N通道金氧半導體(NMOS),其包含:半導體基板,係具有N通道;閘極堆疊結構,係包含形成在該N通道上方的閘極介電層、形成在該閘極介電層上方的金屬層、及形成在該金屬層上方的蓋層;及第一蓋層,係包含在該金屬層與該蓋層之間的界面的濃度比該蓋層的其他區域高的硼,其中該硼可用於控制該閘極堆疊結構的有效功函數(eWF)。
  13. 如申請專利範圍第12項之半導體裝置,其進一步包含形成在該第一蓋層上的第二蓋層,其中該第二蓋層不包含在該第一與第二蓋層之間的界面的濃度比該第二蓋層的其他區域高的化學元素。
  14. 如申請專利範圍第12項之半導體裝置,其進一步包含形成在該第一蓋層上的金屬層。
  15. 一種製造半導體裝置之方法,其包含:將閘極介電層形成在半導體基板上方;將金屬層形成在該閘極介電層上方;將蓋層形成在該金屬層上方,該蓋層包含用於控制有效功函數(eWF)的化學元素;藉由蝕刻該蓋層、該金屬層、及該閘極介電層來形成閘極堆疊結構;及執行退火以形成在該蓋層與該金屬層之間的界面的該化學元素濃度比該蓋層的其他區域高。
  16. 如申請專利範圍第15項之方法,其中該化學元素包含硼。
  17. 如申請專利範圍第15項之方法,其中藉由快速熱退火(RTA)來執行該退火。
  18. 如申請專利範圍第15項之方法,其中該蓋層之形成包含:將掺雜有該化學元素的第一蓋層形成在該金屬層上方;及將第二蓋層形成在該第一蓋層上方。
  19. 如申請專利範圍第15項之方法,其中該蓋層之形成包含將SiGe層形成在該金屬層上方,該SiGe層係當場(in-situ)掺雜有可用於作為該化學元素的硼。
  20. 如申請專利範圍第15項之方法,其中該蓋層包含多晶矽或SiGe。
  21. 如申請專利範圍第15項之方法,其進一步包含在該閘極介電層與該半導體基板之間形成界面層,其中,該閘極介電層具有比該界面層大的介電常數。
  22. 如申請專利範圍第21項之方法,其中該界面層包含氧化矽且該閘極介電層具有比該氧化矽大的介電常數。
  23. 一種製造半導體裝置之方法,其包含:將閘極介電層形成在半導體基板上方;將金屬層形成在該閘極介電層上方;將蓋層形成在該金屬層上方,其中該蓋層包含用於控制有效功函數(eWF)的化學元素;藉由蝕刻該蓋層、該金屬層、及該閘極介電層來形成閘極堆疊結構;藉由將不純物植入該基板來形成源極/汲極;及執行退火以形成在該蓋層與該金屬層之間的界面的該化學元素濃度比該蓋層的其他區域高。
  24. 如申請專利範圍第23項之方法,其中該化學元素包含硼。
  25. 如申請專利範圍第23項之方法,其中藉由快速熱退火(RTA)來執行該退火。
  26. 如申請專利範圍第23項之方法,其中該蓋層之形成包含:將掺雜有該化學元素的第一蓋層形成在該金屬層上方;及將第二蓋層形成在該第一蓋層上方。
  27. 如申請專利範圍第23項之方法,其中該蓋層之形成包含將SiGe層形成在該金屬層上方,該SiGe層係當場掺雜有可用於作為該化學元素的硼。
  28. 如申請專利範圍第23項之方法,其中該蓋層包含多晶矽或SiGe。
  29. 如申請專利範圍第23項之方法,其進一步包含在該閘極介電層與該半導體基板之間形成界面層,其中,該閘極介電層具有比該界面層大的介電常數。
  30. 如申請專利範圍第29項之方法,其中該界面層包含氧化矽且該閘極介電層具有比該氧化矽大的介電常數。
  31. 如申請專利範圍第23項之方法,其中該化學元素包含硼且該閘極堆疊結構成為N通道金氧半導體(NMOS)的閘極堆疊結構。
TW101100281A 2011-10-31 2012-01-04 具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法 TW201318169A (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020110111831A KR20130047054A (ko) 2011-10-31 2011-10-31 고유전층 및 금속게이트전극을 갖는 반도체장치 및 그 제조 방법

Publications (1)

Publication Number Publication Date
TW201318169A true TW201318169A (zh) 2013-05-01

Family

ID=48171515

Family Applications (1)

Application Number Title Priority Date Filing Date
TW101100281A TW201318169A (zh) 2011-10-31 2012-01-04 具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法

Country Status (4)

Country Link
US (2) US20130105901A1 (zh)
KR (1) KR20130047054A (zh)
CN (1) CN103094344A (zh)
TW (1) TW201318169A (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR102084657B1 (ko) * 2013-11-04 2020-03-04 에스케이하이닉스 주식회사 트랜지스터의 문턱전압조절을 위한 방법 및 게이트구조물
KR102201114B1 (ko) * 2014-02-05 2021-01-12 에스케이하이닉스 주식회사 트랜지스터의 문턱전압조절을 위한 방법 및 게이트구조물
KR102262887B1 (ko) 2014-07-21 2021-06-08 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9735061B1 (en) * 2016-02-03 2017-08-15 Globalfoundries Inc. Methods to form multi threshold-voltage dual channel without channel doping
JP6751866B2 (ja) * 2016-04-22 2020-09-09 国立研究開発法人産業技術総合研究所 半導体強誘電体記憶素子の製造方法及び半導体強誘電体記憶トランジスタ
WO2020141850A1 (ko) * 2018-12-31 2020-07-09 충남대학교산학협력단 탄화수소 박막, 탄화수소 박막의 제조방법 및 탄화수소 박막을 포함하는 반도체 소자
US11158721B2 (en) * 2019-08-30 2021-10-26 Taiwan Semiconductor Manufacturing Co., Ltd. Metal oxide interlayer structure for nFET and pFET
US11791218B2 (en) * 2020-05-20 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. Dipole patterning for CMOS devices
KR20220150109A (ko) * 2021-05-03 2022-11-10 에스케이하이닉스 주식회사 반도체 장치 및 그 제조방법
CN114927411A (zh) * 2022-05-12 2022-08-19 长鑫存储技术有限公司 半导体器件的制备方法及结构

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2000307110A (ja) * 1999-04-23 2000-11-02 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体装置
US6867101B1 (en) * 2001-04-04 2005-03-15 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having a nitride/high-k/nitride gate dielectric stack by atomic layer deposition (ALD) and a device thereby formed
US7303996B2 (en) * 2003-10-01 2007-12-04 Taiwan Semiconductor Manufacturing Co., Ltd. High-K gate dielectric stack plasma treatment to adjust threshold voltage characteristics
JP4469782B2 (ja) * 2005-11-24 2010-05-26 株式会社東芝 半導体装置及びその製造方法
JP5285519B2 (ja) * 2009-07-01 2013-09-11 パナソニック株式会社 半導体装置及びその製造方法
US8674457B2 (en) * 2010-08-11 2014-03-18 Globalfoundries Singapore Pte., Ltd. Methods to reduce gate contact resistance for AC reff reduction

Also Published As

Publication number Publication date
US20130105901A1 (en) 2013-05-02
US20150263119A1 (en) 2015-09-17
CN103094344A (zh) 2013-05-08
KR20130047054A (ko) 2013-05-08

Similar Documents

Publication Publication Date Title
TW201318169A (zh) 具有金屬閘極及高介電常數介電材料之半導體裝置及其製造方法
US9281373B2 (en) Semiconductor device having tungsten gate electrode and method for fabricating the same
KR102128450B1 (ko) 트랜지스터의 문턱전압조절을 위한 방법 및 게이트구조물
US9659828B2 (en) Semiconductor device with metal gate and high-k dielectric layer, CMOS integrated circuit, and method for fabricating the same
US9379023B2 (en) Semiconductor device with metal gate and high-k materials and method for fabricating the same
US20070001241A1 (en) Semiconductor devices having nitrogen-incorporated active region and methods of fabricating the same
US8410555B2 (en) CMOSFET device with controlled threshold voltage and method of fabricating the same
TWI591826B (zh) 具有雙重工作函數閘極堆疊的半導體裝置及其製造方法
US9059315B2 (en) Concurrently forming nFET and pFET gate dielectric layers
US20140183649A1 (en) Semiconductor device having metal gate and high-k dielectric layer and method for manufacturing the same
US9318390B2 (en) CMOS circuit and method for fabricating the same
US20130267086A1 (en) Passivating point defects in high-k gate dielectric layers during gate stack formation
JP2006108355A (ja) 半導体装置およびその製造方法
US8846474B2 (en) Dual workfunction semiconductor devices and methods for forming thereof
JP5057957B2 (ja) 半導体装置及びその製造方法
CN109817585A (zh) 金属氧化物半导体器件及其制造方法
US8872285B2 (en) Metal gate structure for semiconductor devices