JP4647682B2 - 半導体装置及びその製造方法 - Google Patents

半導体装置及びその製造方法 Download PDF

Info

Publication number
JP4647682B2
JP4647682B2 JP2008289773A JP2008289773A JP4647682B2 JP 4647682 B2 JP4647682 B2 JP 4647682B2 JP 2008289773 A JP2008289773 A JP 2008289773A JP 2008289773 A JP2008289773 A JP 2008289773A JP 4647682 B2 JP4647682 B2 JP 4647682B2
Authority
JP
Japan
Prior art keywords
gate electrode
layer
tin
insulating film
orientation
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
JP2008289773A
Other languages
English (en)
Other versions
JP2010118443A (ja
Inventor
純 鈴木
博 中川
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Panasonic Corp
Panasonic Holdings Corp
Original Assignee
Panasonic Corp
Matsushita Electric Industrial Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Panasonic Corp, Matsushita Electric Industrial Co Ltd filed Critical Panasonic Corp
Priority to JP2008289773A priority Critical patent/JP4647682B2/ja
Priority to PCT/JP2009/004439 priority patent/WO2010055603A1/ja
Publication of JP2010118443A publication Critical patent/JP2010118443A/ja
Priority to US13/005,196 priority patent/US8476714B2/en
Application granted granted Critical
Publication of JP4647682B2 publication Critical patent/JP4647682B2/ja
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66575Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate
    • H01L29/6659Lateral single gate silicon transistors where the source and drain or source and drain extensions are self-aligned to the sides of the gate with both lightly doped source and drain extensions and source and drain self-aligned to the sides of the gate, e.g. lightly doped drain [LDD] MOSFET, double diffused drain [DDD] MOSFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's

Description

本明細書で開示される技術は、半導体装置に関し、詳細には、高誘電体ゲート絶縁膜を有する半導体装置及びその製造方法に関する。
半導体装置の高集積化及び動作の高速化に伴い、トランジスタの微細化が進められている。相補型MOS(CMOS)デバイスには、Nチャネル型MOSトランジスタ(以下「NMOS」と略記する)とPチャネル型MOSトランジスタ(以下「PMOS」と略記する)の2つのトランジスタが設けられている。NMOSは電子の移動によって電流のオン、オフを制御し、PMOSは正孔の移動によって電流を制御する。
従来、CMOSデバイスには誘電率が3.9程度のシリコン酸化膜からなるゲート絶縁膜が一般的に用いられてきた。しかし、シリコン酸化膜からなるゲート絶縁膜は、トランジスタの微細化に伴って薄膜化されると、リーク電流が増大し、デバイスの消費電力や待機電力を増大させてしまう。そこで、誘電率が4.0以上のHigh−k材料で構成され、実際の膜厚をシリコン酸化膜を用いる場合より厚くしても、実効的な膜厚(EOT)を薄膜化できるゲート絶縁膜の開発が進んでいる。
しかしながら、High−kゲート絶縁膜を従来から用いられているポリシリコンからなるゲート電極と組み合わせただけでは、ゲート電極の空乏化と呼ばれる現象により、EOTが薄いというHigh−kゲート絶縁膜の利点が失われてしまう。従来のゲート電極では、ポリシリコン中にホウ素やリンなどの不純物をイオン注入し、熱処理によって活性化することで、ポリシリコンの仕事関数をノンドープ状態の4.65eVから、ホウ素をイオン注入することで、5.15eVまで向上でき、NMOSとPMOSのしきい値電圧の制御が可能であった。しかし、High−kゲート絶縁膜を用いると、フェルミレベルピニングを起こし、イオン注入によって仕事関数を変化させることができなくなる。よって、ゲート電極の空乏化を防ぐためには、金属で構成されたゲート電極との組み合わせが必須である。しかし、金属ゲート電極とポリシリコンゲート電極を組み合わせたMIPS(Metal-Inserted-Poly-Si Stack)と呼ばれる構造においてはゲート電極に用いられる金属の仕事関数がしきい値に与える影響が支配的になり、イオン注入による仕事関数の調整は難しい。このHigh−kゲート絶縁膜と金属ゲート電極における仕事関数の研究においては、チタン、タングステン、タンタル、モリブデンの窒化物の使用が検討されており、特に、DRAM(Dynamic Random Access Memory)の電極材料として、従来から用いられてきたチタンやタングステンの窒化物が、ドライエッチングやウェットエッチングなどの加工特性を考える上で、金属ゲート電極材料としては扱いやすい。
特開2007−110091号公報
チタン窒化膜(TiN膜)の仕事関数は、膜厚に大きく依存し、大きな仕事関数が必要なpチャネルゲート電極はTiN膜厚を厚く、小さな仕事関数が必要なnチャネルゲート電極ではTiN膜厚を薄く形成することで、仕事関数を変化させることが可能である。
しかし、TiN膜の膜厚を厚くすると、同時にEOTの増膜が起こり、TiNを厚膜で使用する場合、High−kゲート絶縁膜で得たEOTの薄膜化を犠牲にすることになる。また、NMOSとPMOSでゲート絶縁膜のEOTが異なるため、CMOS動作に不具合が生じるなどの場合もある。
本発明の目的は、微細化に対応でき、High−kゲート絶縁膜を有する半導体装置を提供することにある。
上記課題を解決するために、本発明に係る半導体装置は、上部にp型層とn型層が設けられた半導体基板と、前記p型層上に設けられた第1のゲート絶縁膜と、前記第1のゲート絶縁膜上に設けられ、TiNからなる第1のゲート電極と、前記第1のゲート電極上に設けられ、不純物を含む半導体からなる第1の上部ゲート電極とを有するNチャネル型MOSトランジスタと、前記n型層上に設けられた第2のゲート絶縁膜と、前記第2のゲート絶縁膜上に設けられ、TiN結晶からなり、(111)配向/(200)配向が1.5以上となるTiN層を少なくとも一部に含む第2のゲート電極と、前記第2のゲート電極上に設けられ、不純物を含む半導体からなる第2の上部ゲート電極とを有するPチャネル型MOSトランジスタとを備えている。
この構成によれば、Pチャネル型MOSトランジスタの第2のゲート電極が少なくとも一部に(111)配向/(200)配向が1.5以上となるTiN層を含んでいるので、第2のゲート電極を厚くして仕事関数を大きくしてもEOTの増加を抑制することができる。このため、Pチャネル型MOSトランジスタとNチャネル型MOSトランジスタのゲート電極の仕事関数を適切な値に設定しつつ、両トランジスタ間でのEOTの差を小さくできるので、微細化した場合でも高機能なCMOSトランジスタを実現することができる。
また、前記第2のゲート電極の全体が(111)配向/(200)配向が1.5以上となるTiN結晶で構成されており、前記第1のゲート電極は、(111)配向/(200)配向が1.5以上で、前記第2のゲート電極よりも膜厚が薄いTiN結晶で構成されている場合、Nチャネル型MOSトランジスタのゲート電極の仕事関数を小さくしつつ、Pチャネル型MOSトランジスタの仕事関数を大きくできるので好ましい。
前記第2のゲート電極の膜厚が15nm以上である場合、Pチャネル型MOSトランジスタのゲート電極の仕事関数を大きくできるので好ましい。
前記第1のゲート電極は(111)配向/(200)配向が0.8以上1.2以下のTiN結晶で構成されており、前記第1のゲート電極の膜厚は4nm以上10nm以下であれば、Nチャネル型MOSトランジスタのEOTを小さくできるので好ましい。
前記第2のゲート電極は、(111)配向/(200)配向が0.8以上1.2以下のTiN結晶からなる第1のTiN層と、(111)配向/(200)配向が1.5以上のTiN結晶からなる第2のTiN層とで構成されていてもよい。
前記第1のゲート絶縁膜の内部または前記第1のゲート絶縁膜と前記第1のゲート電極との界面にLaまたはMgが偏析され、前記第2のゲート絶縁膜の内部または前記第2のゲート絶縁膜と前記第2のゲート電極との界面にAlまたはTaが偏析されていてもよい。
前記第1のゲート絶縁膜及び前記第2のゲート絶縁膜は、高誘電体で構成されていてもよい。
本発明の半導体装置の製造方法は、半導体基板の上部にp型層及びn型層を形成する工程(a)と、前記p型層上に、下から順に第1のゲート絶縁膜、TiNからなる第1のゲート電極、及び不純物を含む半導体からなる第1の上部ゲート電極を有するNチャネル型MOSトランジスタを形成するとともに、前記n型層上に、下から順に第2のゲート絶縁膜、TiN結晶からなり、(111)配向/(200)配向が1.5以上となるTiN層を少なくとも一部に含む第2のゲート電極、及び不純物を含む半導体からなる第2の上部ゲート電極を有するPチャネル型MOSトランジスタを形成する工程(b)とを備えている。
この方法によれば、Pチャネル型MOSトランジスタの第2のゲート電極の少なくとも一部に(111)配向/(200)配向が1.5以上となるTiN層を設けるので、第2のゲート電極を厚くして仕事関数を大きくしつつ、EOTの増加を抑制することが可能となる。このため、Pチャネル型MOSトランジスタとNチャネル型MOSトランジスタのゲート電極の仕事関数を適切な値に設定しつつ、両トランジスタ間でのEOTの差を小さくできるので、微細化した場合でも高機能なCMOSトランジスタを実現することができる。
前記工程(b)は、前記半導体基板上に絶縁膜を形成する工程(b1)と、ガス供給口と前記半導体基板との間隔を25mm以上にし、66.7Pa以下でTiの原料ガスとNの原料ガスとを交互に供給することで前記絶縁膜上に(111)配向/(200)配向が1.5以上となるTiN層を形成する工程(b2)と、前記TiN層のうち前記p型層の上方に位置する部分の一部を選択的に除去し、前記p型層の上方における前記TiN層の膜厚を、前記n型層の上方における前記TiN層の膜厚より薄くする工程(b3)と、前記TiN層の上に半導体膜を形成する工程(b4)と、前記半導体層、前記TiN層、前記絶縁膜をパターニングして前記p型層上に前記絶縁膜の一部からなる前記第1のゲート絶縁膜、前記TiN層の一部からなる前記第1のゲート電極、及び前記半導体膜の一部からなる前記第1の上部ゲート電極を形成するとともに、前記n型層上に前記絶縁膜の一部からなる前記第2のゲート絶縁膜、前記TiN層の一部からなる前記第2のゲート電極、及び前記半導体膜の一部からなる前記第2の上部ゲート電極を形成する工程(b5)とを含んでいてもよい。なお、チャンバー内の圧力とガス供給口と半導体基板との間隔とを調節することで、TiNの結晶配向性を制御することが可能となっている。例えば、チャンバー内の圧力を下げてガス供給口と半導体基板との間隔を大きくすることで、(111)配向/(200)配向が1.5以上となるTiN層を選択的に形成することが可能である。
また、前記第2のゲート電極は下層ゲート電極と、前記下層ゲート電極上に設けられた上層ゲート電極とを有しており、前記工程(b)は、前記半導体基板上に絶縁膜を形成する工程(b6)と、ガス供給口と前記半導体基板との間隔を20mm以下にし、400Pa以上でTiの原料ガスとNの原料ガスとを交互に供給することで前記絶縁膜上に(111)配向/(200)配向が0.8以上1.2以下となる第1のTiN層を形成する工程(b7)と、前記ガス供給口と前記半導体基板との間隔を25mm以上にし、66.7Pa以下でTiの原料ガスとNの原料ガスとを交互に供給することで前記第1のTiN層上に(111)配向/(200)配向が1.5以上となる第2のTiN層を形成する工程(b8)と、前記第2のTiN層のうち前記p型層の上方に形成された部分を選択的に除去する工程(b9)と、前記第1のTiN層及び前記第2のTiN層の上に半導体膜を形成する工程(b10)と、前記半導体層、前記第2のTiN層、前記第1のTiN層、前記絶縁膜をパターニングして前記p型層上に前記絶縁膜の一部からなる前記第1のゲート絶縁膜、前記第1のTiN層の一部からなる前記第1のゲート電極、及び前記半導体膜の一部からなる前記第1の上部ゲート電極を形成するとともに、前記n型層上に前記絶縁膜の一部からなる前記第2のゲート絶縁膜、前記第1のTiN層の一部からなる前記下層ゲート電極、前記第2のTiN層の一部からなる前記上層ゲート電極、及び前記半導体膜の一部からなる前記第2の上部ゲート電極を形成する工程(b11)とを含んでいてもよい。
また、前記第2のゲート電極は下層ゲート電極と、前記下層ゲート電極上に設けられた上層ゲート電極とを有しており、前記工程(b)は、前記半導体基板上に絶縁膜を形成する工程(b12)と、前記絶縁膜上にAl酸化物、Ta酸化物、Al窒化物、及びTa窒化物のうちいずれかからなる第1の偏析層を形成する工程(b13)と、ガス供給口と前記半導体基板との間隔を20mm以下にし、400Pa以上でTiの原料ガスとNの原料ガスとを交互に供給することで前記第1の偏析層の上に(111)配向/(200)配向が0.8以上1.2以下となる第1のTiN層を形成する工程(b14)と、前記第1のTiN層のうち前記p型層の上方に形成された部分を除去する工程(b15)と、前記工程(b15)の後、前記絶縁膜及び前記第1のTiN層の上にLa酸化物、Mg酸化物、La窒化物、及びMg窒化物のうちいずれかからなる第2の偏析層を前記p型層の上方に選択的に形成する工程(b16)と、熱処理によって前記絶縁膜の内部、または前記絶縁膜の上面のうち前記p型層の上方に形成された部分にLaまたはMgを偏析させ、前記絶縁膜の内部、または前記絶縁膜の上面のうち前記n型層の上方に形成された部分にAlまたはTaを偏析させる工程(b17)と、前記ガス供給口と前記半導体基板との間隔を25mm以上にし、66.7Pa以下でTiの原料ガスとNの原料ガスとを交互に供給することで前記第2の偏析層及び前記第1のTiN層上に(111)配向/(200)配向が1.5以上となる第2のTiN層を形成する工程(b18)と、前記第2のTiN層の上に半導体膜を形成する工程(b19)と、前記半導体層、前記第2のTiN層、前記第1のTiN層、前記第2の偏析層、前記第1の偏析層、及び前記絶縁膜をパターニングして前記p型層上に前記絶縁膜の一部からなる前記第1のゲート絶縁膜、前記第2の偏析層の一部からなる第2の元素偏析層、前記第2のTiN層の一部からなる前記第1のゲート電極、及び前記半導体膜の一部からなる前記第1の上部ゲート電極を形成するとともに、前記n型層上に前記絶縁膜の一部からなる前記第2のゲート絶縁膜、前記第1の偏析層の一部からなる第1の元素偏析層、前記第1のTiN層の一部からなる前記下層ゲート電極、前記第2のTiN層の一部からなる前記上層ゲート電極、及び前記半導体膜の一部からなる前記第2の上部ゲート電極を形成する工程(b20)とを含んでいてもよい。
本発明によれば、Pチャネル型MOSトランジスタ及びNチャネル型MOSトランジスタに形成されたTiNからなるゲート電極の結晶配向率を最適に設定することで、EOT増膜を抑制しつつ高い仕事関数を得ることができるので、例えばHigh−k材料を用いたゲート絶縁膜とTiNからなる金属ゲート電極を組み合わせた場合にCMOSのさらなる微細化及び高機能化を実現することができる。
(第1の実施形態)
以下、本発明の第1の実施形態に係る半導体製造装置及び、それを用いた半導体装置の製造方法について、図面を参照しながら説明する。
図1は、本発明の第1の実施形態に係る半導体装置の概略構成を示す断面図である。ここでは、CMOSを構成するNMOS106とPMOS105とを示している。
図1に示すように、本実施形態の半導体装置では、シリコン等からなる半導体基板101の上部にp型ウェル領域103及びn型ウェル領域102が設けられ、p型ウェル領域103及びn型ウェル領域102はそれぞれシリコン酸化膜等で構成された素子分離層104に囲まれ、互いに電気的に分離されている。素子分離層104は例えばSTI(Shallow Trench Isolation)形状を有している。p型ウェル領域103上にはNMOS106が設けられ、n型ウェル領域102上にはPMOS105が設けられている。
NMOS106は、p型ウェル領域103上に設けられ、High−k材料からなる第1のゲート絶縁膜115と、第1のゲート絶縁膜115上に設けられ、TiNで構成された第1のゲート電極116と、第1のゲート電極116上に設けられ、リンなどのn型不純物を含むポリシリコンからなる第1の上部ゲート電極117と、第1のゲート絶縁膜115、第1のゲート電極116、及び第1の上部ゲート電極117の側面上に設けられ、シリコン酸化膜やシリコン窒化膜等で構成された第1のサイドウォール118と、p型ウェル領域103のうち第1のサイドウォール118の直下部分に設けられ、n型不純物を含むn型エクステンション層114と、p型ウェル領域103のうち第1のゲート電極116、第1の上部ゲート電極117及び第1のサイドウォール118の両側方に位置する領域に設けられ、n型エクステンション層114に接し、n型エクステンション層114よりも高濃度のn型不純物を含むn型不純物拡散層113とを有している。n型不純物拡散層113及びn型エクステンション層114はn型ソース・ドレイン領域を構成しており、このn型ソース・ドレイン領域上と第1の上部ゲート電極117の上にはそれぞれニッケルシリサイド(NiSi)もしくはニッケル白金シリサイド(NiPtSi)などで構成されたシリサイド層が形成されている。n型ソース・ドレイン領域に炭素(C)を1%〜3%含んだカーボンドープSiエピタキシャル層が設けられていてもよい。
PMOS105は、n型ウェル領域102上に設けられ、High−k材料からなる第2のゲート絶縁膜109と、第2のゲート絶縁膜109上に設けられ、TiNで構成された第2のゲート電極110と、第2のゲート電極110上に設けられ、ボロンなどのn型不純物を含むポリシリコンからなる第2の上部ゲート電極111と、第2のゲート絶縁膜109、第2のゲート電極110、及び第2の上部ゲート電極111の側面上に設けられ、シリコン酸化膜やシリコン窒化膜等で構成された第2のサイドウォール112と、n型ウェル領域102のうち第2のサイドウォール112の直下部分に設けられ、p型不純物を含むp型エクステンション層108と、n型ウェル領域102のうち第2のゲート電極110、第2の上部ゲート電極111及び第2のサイドウォール112の両側方に位置する領域に設けられ、p型エクステンション層108に接し、p型エクステンション層108よりも高濃度のp型不純物を含むp型不純物拡散層107とを有している。p型不純物拡散層107及びp型エクステンション層108はp型ソース・ドレイン領域を構成しており、このp型ソース・ドレイン領域上と第2の上部ゲート電極111の上にはそれぞれニッケルシリサイド(NiSi)もしくはニッケル白金シリサイド(NiPtSi)などで構成されたシリサイド層が形成されている。p型ソース・ドレイン領域にゲルマニウム(Ge)を10%〜30%含んだSiGeエピタキシャル層が設けられていてもよい。第1の上部ゲート電極117及び第2の上部ゲート電極111はポリシリコン以外に、シリコンゲルマニウムなど、不純物を含む半導体で構成されていてもよい。
本実施形態の半導体装置の特徴は、TiNからなる第1のゲート電極116及び第2のゲート電極110のEOTがTiN膜の膜厚で調整されているのではなく、TiN膜の結晶性により制御されていることにある。すなわち、第1のゲート電極116及び第2のゲート電極110では、TiN膜の結晶性を制御することで酸化膜換算膜厚(EOT)の変動が抑制されている。このような、金属ゲート電極の結晶性を制御することでEOTの変動を抑えることは、従来全く知られていなかった。また、CMOSのゲート電極では、動作を安定化するためにNMOSとPMOSのゲート絶縁膜のEOTを揃える必要があるので、本願発明者らはこの点も考慮して検討を重ね、本願発明に想到した。以下、その詳細について説明する。
本実施形態の半導体装置では、第1のゲート電極116及び第2のゲート電極110を構成するTiとNの組成比N/Tiを1.0以上1.2以下に設定し、TiNを結晶状態で用いた。さらに、本願発明者らは、TiNの結晶配向性の(111)配向の割合(200)配向に対して強めることによって、TiN膜厚が25nm程度までEOTの増加を抑制できることを見出し、これをCMOSトランジスタに適用した。
図2は、TiN膜厚とEOTの関係を示す図である。同図のグラフAに示すように、従来技術で用いられるTiN膜では、TiN膜厚に対してEOTが単調に増加する。この場合、仕事関数を増加させる目的でTiN膜厚を増加させると、EOTも増加し、微細化に反することとなる。TiNは柱状結晶になりやすく、従来のゲート電極に用いられるTiNでは(200)配向の結晶が支配的である。また、図2のグラフCに示すように、本実施形態で用いられるTiN膜では、TiN膜厚が測定した範囲内(5nm〜25nmまで)で大きなEOTの変化は見られない。本実施形態のゲート電極に用いられるTiN膜では(111)配向の(200)配向に対する割合(以下、(111)配向/(200)配向等と表記)が従来に比べて大きくなっている。また、グラフBは、グラフAとCに示すTiNの中間の結晶性を有しているTiNの測定結果を示す。
図3は、膜厚15nmのTiN薄膜の結晶性を調べたXRD(X-Ray Diffraction)の結果である。測定方法は、θ/2θ法と称されるOut−Plane測定である。横軸は回折角度(2θ)、縦軸は、X線の回折強度を示している。これらのスペクトルの差が区別しやすいように、それぞれのスペクトルに縦軸方向のオフセットを加えている。TiN膜中のチタンと窒素の比率(Ti/N)は1.1程度であるが、(111)面の回折ピークが支配的になる場合(図3中の"C")と、(200)面の回折ピークが支配的になる場合(図3中の"A")に作りわけすることが可能である。なお、図3中のBに示すように、(111)面結晶の回折ピークと(200)面結晶の回折ピークとを同程度とすることもできる。
以上から分かるように、TiNの結晶配向性を変化させることでTiN膜厚が増加してもEOTの変動が小さくなるようにすることが可能である。これは、本願発明者が独自に見出した事項である。TiN膜の形成技術としては、MOCVD(Metal Organic Chemical Vapor Deposition)法、ALD(Atomic Layer Deposition)法、PVD(Physical Vapor Deposition)法などが考えられるが、結晶制御をする目的では、原子層の制御が可能であるALD法が最も好ましい。
ALD法を用いてTiN膜を形成する場合、図4に示すように、例えば塩化チタン(TiCl4)などのTiソースとアンモニア(NH3)などの窒素ソースを交互に供給して、原子層蒸着を繰り返しながら成膜を行う。TiN膜の結晶性は、Tiソースや窒素ソースの供給時間や流量、もしくは成膜温度を350℃から600℃まで変化させても、大きな差は生じない。
TiNの結晶性は、ALD1サイクルあたりの基板表面上の吸着確率に大きく依存し、その吸着確率に大きな影響を及ぼすのは、チャンバー内圧力である。MOCVDやPVDでチャンバー内圧力を変化させても結晶性が変化できないのは、Tiと窒素の結合において気相反応が支配的であるためと考えられる。これに対して、ALDでは、表面反応が支配的であるため、チャンバー圧力を変化させて結晶性を変調させることが可能である。
チャンバー内圧力が高い場合、基板に吸着しようとするTi原子は密な状態で吸着、結合する。密な状態でALDサイクルを続けると、縦方向へ結合が進み、(200)面の結晶性が支配的になる。このため、1サイクルあたりの膜厚が増え、デポレートが高くなる。これに対して、チャンバー圧力が低い場合は、Ti原子、N原子は、原子密度は疎な状態で吸着、結合する。このため、デポレートは低下する。疎な状態で結合が起こる場合、TiとNが1対の結合をするだけでなく、原子が吸着した際、例えばTiが2つのNと結合する確率が高くなる。すると、斜め方向の結合が進み、(111)面の結晶性が支配的になる。
図5は、図3に示すA、B、Cの各TiNを成膜する条件におけるデポレート(deposition rate)を示す図である。横軸はALDのサイクル数を示し、縦軸は膜厚を示す。グラフの傾きはデポレートを表し、傾きが大きいほどデポレートが高く、傾きが小さいほどデポレートが低いことを表す。前述のとおり、デポレートは、チャンバー内圧力に大きく依存し、シャワープレート(ガス供給口)とウェハ(ステージヒータ)との間隔にも依存する。
ここで、本願発明者は、チャンバー内圧力、及びシャワープレート−ウェハ間の間隔を調整し、デポレートを変化させることで、TiNの結晶配向を自在に変化できることを見出した。後述する半導体装置の製造方法で、デポレートが0.08nm/cycle以上の場合、(200)面が支配的になり、デポレートが0.075nm/cycleで(111)面と(200)面が同等になる。逆に、デポレートを0.06nm/cycle以下にすると、(111)面が支配的になる。
デポレートは、スループットと称される単位時間当たりの処理枚数に影響し、デポレートが高いほど、単位時間当たりの処理枚数が増える。このため、量産設備としては、スループットの高い状態での成膜が好まれ、ALD成膜した際の従来のTiN膜の結晶面方位は(200)面が支配的であった。
図6は、配向性を変化させた時のTiN膜厚に対する仕事関数の変化を示す図である。特開2007−165414号公報で公開されているように、炭化タンタル(TaC)は、結晶配向性を変えると仕事関数を大きく変えることができる。これに対してTiNの仕事関数は結晶配向性に鈍感で、(111)配向/(200)配向が1.5以上のTiNと0.6以下のTiNで飽和仕事関数の差を比較すると僅か20meVであり、仕事関数への影響はTiNの膜厚が支配的であることがわかる。
TiNの(200)配向が支配的である場合、TiNの結晶粒界が形成されやすく、その結晶粒界に沿って、High−k絶縁膜からTiN膜内に酸素が拡散し、チタン酸化物(TiOx)が形成されたことによってEOTが増膜するものと考えられる。配向性の違いが仕事関数に与える影響は小さいが、(200)配向が支配的である場合の方が、仕事関数の飽和量は大きくなる。これは、High−kゲート絶縁膜/TiN界面にTiOが形成されたことによって、電気双極子モーメントが大きくなったためと考えられる。
逆に、TiNの(111)配向が支配的である場合、斜方向の結晶成長であるため、High−kゲート絶縁膜側もしくは、ポリシリコン(上部ゲート電極)側から拡散してくる酸素の拡散を防止できるため、TiN膜厚の増加に伴うEOTの増加が抑えられると考えられる。
なお、図3のXRD測定では回折強度を向上させるために、最小でも100μm×100μmのX線スポット径が必要になり、ゲート長が50nm以下のトランジスタのゲート電極のX線回折を観察することは難しい。しかし、トランジスタのゲート電極部分の断面を透過電子顕微鏡(TEM)で観察することによって、容易に結晶配向性を観察することが可能である。あるいは、電子線回折法によって(111)と(200)の結晶配向率を確認することは可能である。
図7は、TiN膜のTEM像を示す図である。同図において部分(a)は、(111)面を示す斜結晶面であり、部分(b)は、(200)面を示す縦結晶面であることが分かる。
このように、本願発明者らはTiN膜の物性を詳細に調べ、TiNの結晶配向性を制御することにより、TiN膜の膜厚を増やした場合のEOTの増加を抑制することができた。本発明は、この新しい発見に基づいて行われたものであり、従来技術の範疇とは異なる特徴・効果を示す。
以上で説明したように、本実施形態の半導体装置では、第1のゲート電極116と第2のゲート電極110を共に(111)面が支配的なTiNで構成しているので、PMOSとNMOSでゲート電極の仕事関数を適切な値に設定しつつ、第1のゲート電極116と第2のゲート電極110とでEOTの差を小さくすることができる。また、EOTを小さくすることもできるので、PMOSとNMOSとを共に適切に動作させることができ、微細且つ高機能なCMOSトランジスタを実現することができる。
なお、第1のゲート電極116の膜厚は4nm以上10nm以下程度が好ましく、第2のゲート電極110の膜厚は15以上25nm以下程度であることが好ましい。
次に、本実施形態の半導体装置の製造方法を、図8(a)〜(e)を参照して説明する。
まず、図8(a)に示す工程で、半導体基板101上に、素子分離層104によって絶縁分離されたn型ウェル領域102及びp型ウェル領域103をそれぞれ公知の方法で形成した後、n型ウェル領域102及びp型ウェル領域103上に高誘電体膜109a、TiN膜110aを順次形成する。ここで、「高誘電体膜」、あるいは「High−k膜」とは、従来ゲート絶縁膜の材料として主流であったシリコン酸化物よりも高い誘電率を持つ材料のことを言うものとする。高誘電体膜109aは、例えば、水蒸気や一酸化窒素雰囲気でn型ウェル領域102及びp型ウェル領域103の上面部を酸化することで形成された厚さ1.0nmほどのシリコン酸化膜上に、Hf、Zrなどの4族元素を主成分とした酸化物、または、シリケートと称されるHfやZrとSiとの酸化物、あるいは、アルミネートと称されるHfやZrとAlとの酸化物、もしくは、プラズマ窒化やアンモニア窒化によって窒素を添加したそれらの元素の酸窒化物を堆積することで形成される。このHigh−k材料からなるゲート絶縁膜の形成には、MOCVD(Metal Organic Chemical Vapor Deposition)法、ALD(Atomic Layer Deposition)法、PVD(Physical Vapor Deposition)法などを用いる。また、窒化処理を加えた場合は、1000℃以上の熱処理を加えたほうが好ましい。
TiN膜110aは、図9に示すような枚葉式の成膜装置により、ALD法を用いて形成する。この枚葉式成膜装置は、シャワープレート203と称される複数のガス供給口201、202を備えたプレートが、半導体基板が設置されるステージヒータ204に平行に配置されており、ガス供給口201、202は互いに独立に配置されている。ここで、ガス供給口201はTiソースガスの供給口であり、ガス供給口202は窒素ソースガスの供給口である。ステージヒータ204とシャワープレート203との間隔206は5mmから50mmまで可変であり、この間隔によって、チャンバー内の容積を自在に変えることができる。Tiソースガス及び窒素ソースガスの供給量はそれぞれマスフローコントローラー208、207によって制御される。
各ソースガスの供給は、0.01秒以上の間隔で開閉を制御できる差圧調整弁により、ガス流量・ガス供給時間が制御されており、例えば、図4に示すようなガス供給を繰り返すことによって、原子層レベルで制御された成膜を実現する。また、非常に速いガスの切り替えが必要になるため、チャンバー容積はできるだけ小さいほうが好ましく、ステージヒータとシャワープレートの間隔を変えることで、各膜種に最適な間隔を設定する。
ガス供給は、例えば、液体ガスバブラーキャビネット209において、Tiソースである塩化チタン(TiCl4)などの液体ソースをArなどの不活性ガスでバブリングすることよって気化させた塩化チタンガスをチャンバー内にt1=0.05秒間供給し(図4参照)、High−kゲート絶縁膜上にTiを吸着させる。
次に、チャンバー内に充満した塩化チタンガスを排出するために、窒素ガスを、例えば1000mL/min(sccm)の流量でt2=0.3秒間供給する。この後、窒素ソースガスであるアンモニアを、例えば1000mL/min(sccm)でt3=1秒間供給することによって、吸着しているTiと結合させる。
その後、チャンバー内に充満しているアンモニアガスを取り除くために、窒素ガスを1000mL/min(sccm)の流量でt4=1秒間程度流す。この一連のガス供給を1サイクルとして、1サイクルの間に成膜される膜厚を、デポレート(成膜速度)と呼ぶ。TiNの場合、400℃から600℃の間では、デポレートはほとんど変化せず、ガス供給流量にもほとんど依存しない。前述のとおり、デポレートは、チャンバー内圧力に大きく依存し、シャワープレート203とウェハ205(ステージヒータ204)との間隔にも依存する。CVDやPVDでは、デポレートを変化させることで、結晶性を変調させることは困難であるが、原子層の制御が可能なALDは1サイクルあたりのデポレートを変化させることで、TiNの結晶配向を自在に変化できる。
本実施形態の場合、TiN膜110aは、高誘電体膜109a上全面に設けられ、(111)/(200)の配向率が1.5以上となる結晶性を持っており、かつ15nm以上25nm以下の膜厚で形成される。成膜条件は、チャンバー内圧力66.7Pa(0.5Torr)、シャワープレート203とウェハ205の間隔が25mmで、ステージヒータ温度は550℃とし、各ガス種のガス流量・時間は上記のとおりである。この方法で用いられるソースガスは、塩化チタンとアンモニアの組み合わせだけでなく、Tiソースとしてアミノ系、イミド系原料を用いてもよいし、窒素ソースについてはアンモニアにプラズマを印加して、アンモニアラジカルとして曝露することも可能である。
続いて、図8(b)に示す工程で、TiN膜110aの全面にレジストを塗布し、NMOS形成領域をフォトリソグラフィー技術によって開口する(図示せず)。さらに、硫酸と過酸化水素水の混合液を用いてTiN膜110aのうちNMOS形成領域内に設けられた部分の一部を除去し、NMOS形成領域内でのTiN膜の膜厚4nm以上10nm以下とする。以後、NMOS形成領域内のTiN膜はTiN膜116aと表記する。その後、レジストを除去する。NMOS形成領域内のTiN膜110aのエッチングはウェットエッチングに限らず、ハロゲン系のエッチングガスを用いたドライエッチングによっても可能である。
次に、図8(c)に示す工程で、TiN膜110a、116a上に形成された自然酸化膜や、レジスト塗布・除去により変質したTiN層を除去するために、過酸化水素水でTiN膜110a、116aの表面を洗浄した後、TiN膜110a、116a上に膜厚が100nmのポリシリコン膜を形成する。TiNとポリシリコンの界面に酸化層が存在すると界面抵抗が上昇するため、本工程(過酸化水素水による洗浄)を行うことが好ましい。ここで、ポリシリコン膜は、シラン(SiH4)やジシラン(Si2H6)を用いて500℃〜550℃で膜を形成した後、熱処理を加えて膜をポリシリコン化する方法と、600℃〜630℃でポリシリコンを形成する方法がある。また、シランとゲルマン(GeH4)を加えてシリコンゲルマニウム膜を電極用の膜として形成してもよい。
次に、フォトリソグラフィー技術とエッチング技術を用いてゲート電極用のレジストパターンを形成し、ハロゲン系のエッチングガスでポリシリコン膜、TiN膜110a、116aを異方性エッチングする。ポリシリコン膜の一部が第1の上部ゲート電極117、第2の上部ゲート電極111となり、TiN膜110aの一部が第2のゲート電極110となり、TiN膜116aの一部が第1のゲート電極116となる。TiN膜110a、116aをエッチングする際、両TiN膜の膜厚が異なるため、High−k材料からなる高誘電体膜109aとの間にエッチング選択比を持たせ、エッチングが高誘電体膜109aで止まるように調整する。なお、高誘電体膜109aはTiN膜の形成後、1000℃以上の熱処理が加わっている方が、十分なエッチング選択比を確保しやすい。
次に、フッ酸系薬剤による洗浄を行ってゲートドライエッチング時に残った高誘電体膜109aの一部を除去し、p型ウェル領域103上に設けられた第1のゲート絶縁膜115を形成し、n型ウェル領域102上に第2のゲート絶縁膜109を形成する。
このように、図8(a)〜(c)に示す工程によって、NMOS形成領域(NMOSが形成される領域)とPMOS形成領域(PMOSが形成される領域)とでほぼ同じEOTを持ったゲート絶縁膜を形成できる。また、NMOS用の第1のゲート電極116の膜厚は薄く(4〜10nm)、PMOS用の第2のゲート電極110の膜厚は厚く(15〜25nm)構成されているため、PMOS用のゲート電極の仕事関数をNMOS用のゲート電極よりも大きくすることができる。
次に、図8(d)に示す工程で、600℃以下の成膜温度でシリコン窒化膜を形成する。形成方法はALDが最適であり、例えば、ジクロロシラン(SiH2Cl2)とアンモニアを交互に供給することで、5nm〜10nmの膜厚で形成する(図示せず)。引き続き、ハロゲン系のガスにて異方性のドライエッチングすることで第1のゲート電極116及び第1の上部ゲート電極117の側面上と、第2のゲート電極110及び第2の上部ゲート電極111の側面上にシリコン窒化膜(オフセットサイドウォール)を形成する。
次に、n型ウェル領域102をレジストで保護し、p型ウェル領域103に、n型の不純物である、リンや砒素、アンチモンなどをイオン注入する。そしてn型ウェル領域102上のレジストを除去した後、p型ウェル領域103をレジストで保護する。そしてn型ウェル領域102に、p型の不純物であるボロンやインジウムをイオン注入し、1000℃以上の熱処理によりイオン種を活性化することにより、p型ウェル領域103の上部にn型エクステンション層114を、n型ウェル領域102の上部にp型エクステンション層108をそれぞれ形成する。
次に、図8(e)に示す工程で、膜厚が5nm〜10nmのシリコン酸化膜と、膜厚が10nm〜30nmのシリコン窒化膜とを連続的に形成した後、異方性のドライエッチングを行って第1のゲート電極116及び第1の上部ゲート電極117の側面上に第1のサイドウォール118を形成し、第2のゲート電極110及び第2の上部ゲート電極111の側面上に第2のサイドウォール112を形成する。第1のサイドウォール118及び第2のサイドウォール112は、必ずしも2層で構成されている必要はなく、1層のシリコン酸化膜もしくは、1層のシリコン窒化膜で構成されていてもよい。
次に、n型ウェル領域102をレジストで保護し、p型ウェル領域103にn型の不純物であるリンや砒素、アンチモンをイオン注入しn型不純物拡散層113を形成する。引き続き、n型ウェル領域のレジストを除去し、p型ウェル領域103をレジストで保護する。この状態で、p型の不純物であるボロンやインジウムをイオン注入してp型不純物拡散層107を得、900℃〜1050℃でn型不純物拡散層113及び、p型不純物拡散層107のイオン種を活性化してソース・ドレイン領域を形成する。
続いて、ソース・ドレイン領域の上部と第1の上部ゲート電極117及び第2の上部ゲート電極111の上部をNiやPtによってシリサイド化する。次いで、コンタクトホールを形成する際のエッチングストッパーとなるシリコン窒化膜(図示せず)と層間絶縁膜(図示せず)となるシリコン酸化膜とを順次形成した後、平坦化処理などの一般的な工程を経て、図1に示す本実施形態の半導体装置を作製できる。
なお、以上ではTiN膜について結晶の配向性を制御する例を説明したが、WN(窒化タングステン)膜やMoN(窒化モリブデン)膜でゲート電極を構成する場合にも結晶の配向性を制御することでPMOSとNMOSのEOTの差を小さくしつつ、各ゲート電極の仕事関数を適切な値にすることが可能となる。
(第2の実施形態)
図10は、本発明の第2の実施形態に係る半導体装置の概略構成を示す断面図である。同図では、図1と同一部分には同一符号を付与し、詳細説明は省略する。
図10に示すように、本実施形態の半導体装置が第1の実施形態の半導体装置と異なるのは、PMOSにおいて、TiNからなるゲート電極が2層で構成されている点である。すなわち、PMOSの第2のゲート絶縁膜109上には下から順に下層ゲート電極301、上層ゲート電極302、第2の上部ゲート電極111が設けられている。TiNで構成される下層ゲート電極301はNMOSの第1のゲート電極303と結晶配向性が同一であり、膜厚も同じである。TiNからなる上層ゲート電極302は下層ゲート電極301と異なる結晶配向性を有している。第1のゲート電極303及び下層ゲート電極301では(111)配向/(200)配向が0.8以上1.2以下、膜厚が4nm以上10nm以下となっている。上層ゲート電極302では(111)配向/(200)配向が1.5程度、膜厚が例えば5nm以上20nm以下程度で、下層ゲート電極301と上層ゲート電極302との合計膜厚は15nm以上となっている。
次に、本実施形態の半導体装置の製造方法を説明する。図11(a)〜(d)は、第2の実施形態に係る半導体装置の製造方法を示す断面図である。
まず、図11(a)に示すように、高誘電体膜109aを形成する工程までは、第1の実施形態と同一であり、第1のTiN膜301a、第2のTiN膜302aを形成した後の工程は、第1の実施形態における図8(c)〜(e)に示す工程と同一である。
図11(b)に示す工程では、高誘電体膜109a上に、(111)配向/(200)配向が0.8以上1.2以下で膜厚が4nm以上10nm以下の第1のTiN膜301aを形成する。図2で示したように、(111)配向の結晶と(200)配向の結晶とが同等となる場合のTiN膜のEOTは、TiN膜厚が5nm付近で最小値をとり、その後、TiN膜厚の増加に従って単調増加する。
さらに、(111)配向/(200)配向が0.8以上1.2以下の第1のTiN膜301a上に、(111)配向/(200)配向が1.5以上と(111)の結晶配向性が支配的な第2のTiN膜302aを堆積する。
本実施形態の半導体装置では、このように、第2のTiN膜302aを第1のTiN膜301a上に積層することで、EOTの変動を抑制している。これは、積層にすることによって、結晶不連続が生じると共に、EOTの変動の要因である(200)面の形成が抑制されるためであると考えられる。
本実施形態の方法において、第1のTiN膜301aを形成する際のソースガス供給時間、供給量は、図4に示す第1の実施形態の方法と同一にする。異なるのは、チャンバー内圧力を400Pa(3.0Torr)以上に設定している点と、シャワープレートとウェハとの間隔を20mm以下(例えば17mm)に狭めている点である。このように、チャンバー内圧力とチャンバー容積と制御することによって、図3に示すグラフBのように、結晶配向性が(111)と(200)で同等になるTiNを形成することができる。また、図5のグラフBに示すように、デポレートは0.075nm/cycle程度となる。
また、(111)面が支配的な第2のTiN膜302aを形成する時、ウェハはチャンバー内に保持し、連続成膜してもかまわないし、一度、大気中に開放してからチャンバー内に設置してもかまわない。(111)面が支配的な第2のTiN膜302aの形成方法は、第1の実施形態で説明した通り、チャンバー内圧力を66.7Pa(0.5Torr)以下、シャワープレート(ガス供給口)とウェハとの間隔を25mm以上にして形成する。なお、ウェハステージ温度はいずれも550℃で、ガス流量・ガスパージ時間は図4のとおりである。
次に、図11(c)に示す工程では、レジストでn型ウェル領域102を保護し、NMOS形成領域内の第2のTiN膜302aを硫酸と過酸化水素水の混合液によりエッチングする。この時、第1のTiN膜301aと第2のTiN膜302aとの間に自然酸化層が存在すればエッチストッパーとなるが、第1のTiN膜301aと第2のTiN膜302aとをチャンバー内で連続成膜した場合はエッチストップがかかりにくいため、エッチングレートからエッチング時間を見積もり、NMOS形成領域に第2のTiN膜302aが4〜10nm程度残るようにする。第1のTiN膜301aと第2のTiN膜302aとの間の自然酸化層でエッチストップさせる場合は、第1のTiN膜301aの元の膜厚がそのままNMOS形成領域内での第1のTiN膜301aの膜厚になる。
その後、図11(d)に示す工程を経て、第1のTiN膜301aの一部が下層ゲート電極301となり、第2のTiN膜302aの一部が上層ゲート電極302となる。第1のTiN膜301aのうちNMOS形成領域上に設けられた部分の一部は第1のゲート電極303となる。このようにして本実施形態の半導体装置は作製される。
図12は、結晶配向性が(111)と(200)で同等な第1のTiN膜上に膜厚を5、10、15nmと変化させた第2のTiN膜を形成した場合のEOTの変化を示す図である。同図において、縦軸はEOTを示し、横軸は第1のTiN膜と第2のTiN膜の合計膜厚を示す。
図12から、単層のTiN膜をゲート電極として用いてEOTの増膜を抑制した場合(図中の四辺形)と比較して、図12中破線で示したHigh−kゲート絶縁膜/ポリシリコンゲート電極構造のEOT(つまり、TiN膜厚が0nmの場合のEOT)との差が2層構造のTiN膜(図中三角印)では小さいことがわかる。なお、図12中の○印のグラフは図2に示すグラフBと同じものである。
以上のように、PMOS用のゲート電極構造を、下層においては(111)と(200)が同等な結晶配向性を持つTiN膜とし、上層においては(111)を支配的に持つ、つまり(111)配向/(200)配向が1.5以上の結晶配向性を持つTiN膜とすることで、PMOSのEOTの増加を小さく抑えることができる。このため、NMOSとPMOSとでゲート電極の膜厚を異なる値として所望の仕事関数が得られるとともに、NMOSとPMOSとでEOTの差を小さくすることができる。また、EOT自体を従来よりも薄くすることができる。このため、本実施形態の半導体装置によれば、微細化した場合でも高機能なCMOSトランジスタ構造を実現することができる。
また、第1のゲート電極303、下層ゲート電極301、及び上層ゲート電極302の結晶性は上述したものに限らず、下層ゲート電極301を(111)配向/(200)配向が0.8以上1.2以下の結晶配向性を示すTiNで構成し、上層ゲート電極302及び第1のゲート電極303を共に(111)配向/(200)配向が1.5以上の結晶配向性を示すTiNで構成してもよい。また、下層ゲート電極301を(111)配向/(200)配向が1.5以上の結晶配向性を示すTiNで構成し、上層ゲート電極302及び第1のゲート電極303を共に(111)配向/(200)配向が0.8以上1.2以下の結晶配向性を示すTiNで構成してもよい。PMOSのTiN結晶からなるゲート電極は、少なくともその一部に(111)配向/(200)配向が1.5以上のTiN層を含んでいればよい。
(第3の実施形態)
図13は、本発明の第3の実施形態に係る半導体装置の概略構成を示す断面図である。同図では、図10と同一部分には同一符号を付与し、詳細説明は省略する。
本実施形態の半導体装置では、第1のゲート絶縁膜115と第1のゲート電極303との間にLa酸化物またはMg酸化物からなる第1の元素偏析層402が設けられており、第2のゲート絶縁膜109とTiNからなる下層ゲート電極301との間にAl酸化物またはTa酸化物からなる第2の元素偏析層401が設けられている。さらに、本実施形態の半導体装置は、第1のゲート絶縁膜115の上部(内部)または第1のゲート絶縁膜115の上面にLa原子またはMg原子を偏析しており、第2のゲート絶縁膜109の上部(内部)または第2のゲート絶縁膜109の上面にAl原子またはTa原子が偏析していることを特徴としている。
第1の元素偏析層402の上には第1のゲート電極303、第1の上部ゲート電極117が順次形成されている。第2の元素偏析層401の上には下層ゲート電極301、上層ゲート電極302、第2の上部ゲート電極111が順次形成されている。
本実施形態の半導体装置では、MOSトランジスタのゲート絶縁膜とゲート電極との間に元素偏析層を持たせてゲート絶縁膜中に原子を偏析させることで、第1及び第2の実施形態に係る半導体装置に比べて、PMOS105ではゲート電極の仕事関数を大きくし、NMOS106ではゲート電極の仕事関数をより小さくすることが可能となる。さらに、NMOS106のしきい値電圧がより低い、高性能なCMOSデバイスを実現することができる。
次に、本実施形態の半導体装置の製造方法を説明する。図14(a)〜(e)は、本実施形態に係る半導体装置の製造方法を示す断面図である。
本実施形態の製造方法では、図14(a)に示すように、高誘電体膜109aを形成する工程までは、図11(a)に示す第2の実施形態と同様である。なお、上部ゲート電極となるポリシリコン膜の形成以降は、第1及び第2の実施形態と同じ方法であるため説明を省略する。
図14(b)に示す工程では、高誘電体膜109aを形成した後、高誘電体膜109a上に第2の偏析層401aを形成する。偏析させる原子はAlもしくはTaが好ましく、第2の偏析層401aはそれらの酸化物や窒化物で構成されていてもよい。成膜方法は、ALDやPVDなどが用いられる。
第2の偏析層401aを酸化アルミニウム(Al2O3)で構成する場合、Alの誘電率が低いため、ゲート絶縁膜のEOTが増膜する傾向がある。そのため、Alの膜厚は0.2〜0.5nmとする。膜厚が1原子層から3原子層の間となるため、膜厚のディメンジョンを考慮せず、ドーズ量で表すこともでき、その場合、2.0×1014(atoms/cm)から1.0×1015(atoms/cm)の間の値をとる。
次に、第1のTiN膜301aを第2の偏析層401aの全面上に形成する。ここでは、後の工程で第1及び第2のゲート絶縁膜中にAlなどを偏析させることで予想されるEOT増膜を相殺するために、4〜6nmの厚さを有する(111)/(200)結晶配向性が0.8以上1.2以下の第1のTiN膜301aを形成する。図2に示したように、(111)/(200)の結晶配向性が1.0付近の場合、膜厚が4nm以上6nm以下の範囲ではEOTが他の場合よりも低下するため、Alによる増膜を相殺することができる。
次に、図14(c)に示す工程では、レジストでPMOS形成領域を覆った状態で、NMOS形成領域内の第1のTiN膜301aと第2の偏析層401aとを硫酸と過酸化水素水の混合液で除去する。この時、Alを含む高誘電体膜109aがエッチストッパーとなる。なお、第2の偏析層401に含まれるAlは拡散していないことが望ましく、Alを拡散させるための熱アニール等は行わない。次に、レジストを除去した後、高誘電体膜109a及び第1のTiN膜301aの上に第1の偏析層402aを形成する。偏析させる原子はLaもしくはMgが好ましく、第1の偏析層402aはこれらの酸化物や窒化物で構成されていてもよい。成膜方法としては、ALDやPVDなどが用いられる。
Laの誘電率はAlに比べて大きいため、Laを偏析させてもEOTの変化は小さく、膜厚が0.5nm〜1.0nm程度になるように形成できるが、吸湿性を持つため、Laを成膜した後、500〜1000℃で熱処理を加え、Laを高誘電体膜109aに拡散させる。本工程では、まず、LaをPVDなどにより0.5〜1.0nm形成する。大気曝露せず、連続的に上記温度で熱処理を加える。この時、高誘電体膜109aのうちNMOS形成領域に設けられた部分内にLaが拡散するが、PMOS形成領域内の第1のTiN膜にも1〜2nm程度まで拡散する。
NMOS形成領域内の高誘電体膜109aに拡散したLa原子が偏析する量によって仕事関数が減少する。Laの膜厚とともに、熱処理の温度と時間の制御によって、仕事関数を制御することができる。
次に、第1のTiN膜301a上に形成されたTiLaON層を塩酸で選択的に除去する。NMOS形成領域内の高誘電体膜109a上には熱処理で高誘電体膜109a中に拡散できなかったLaの水和物が存在するが、これも塩酸によって除去され、高誘電体膜109a中に熱拡散したLa酸化物のみが高誘電体膜109a中に偏析する。
次に、図14(d)に示す工程で、後にNMOSの第1のゲート電極303及び上層ゲート電極302となる第2のTiN膜302aを形成する。第2のTiN膜302aを形成する際には、PMOSにおけるEOTの増膜を防ぐため、(111)配向/(200)配向が1.5以上となるTiNを5nm以上15nm以下の厚さで形成する。
次に、図14(e)に示す工程で、ポリシリコン膜を形成後、当該ポリシリコン膜、第2のTiN膜302a、第1のTiN膜301a、第1の偏析層402a、第2の偏析層401a、高誘電体膜109aを所定の方法でエッチングする。これにより、p型ウェル領域103上には下から順に第1のゲート絶縁膜115、La等からなる第1の元素偏析層402、TiNからなる第1のゲート電極303、ポリシリコンからなる第1の上部ゲート電極117が形成される。また、n型ウェル領域102上には下から順に第2のゲート絶縁膜109、Al等からなる第2の元素偏析層401、TiNからなる下層ゲート電極301、TiNからなる上層ゲート電極302、ポリシリコンからなる第2の上部ゲート電極111が形成される。
PMOSのゲート電極における仕事関数は、第2の元素偏析層401の膜厚、下層ゲート電極301及び上層ゲート電極302の膜厚によって制御され、NMOSのゲート電極における仕事関数は、Laの第1のゲート絶縁膜115中への拡散量と第1のゲート電極303の膜厚によって制御が可能である。
PMOSにおけるEOTは、第2の元素偏析層401の膜厚と、(111)/(200)の結晶配向性が1.0程度の下層ゲート電極301の膜厚とによって制御され、NMOSにおけるEOTは、Laの第1のゲート絶縁膜115中への拡散量によって制御が可能である。
このように、本実施形態の半導体装置の製造方法によれば、MOSトランジスタのゲート絶縁膜とゲート電極との間に原子偏析層を持たせてゲート絶縁膜中に原子を偏析させることで、第1及び第2の実施形態に係る半導体装置に比べて、PMOSではゲート電極の仕事関数を大きくし、NMOSではゲート電極の仕事関数をより小さくすることが可能となる。さらに、EOTを増加させず、NMOSのしきい値電圧がより低い、高性能なCMOSデバイスを実現することができる。
本発明に係る半導体装置及び半導体装置の製造方法は、半導体集積回路を用いる種々の電子機器に好ましく用いられる。
本発明の第1の実施形態に係る半導体装置の概略構成を示す断面図である。 TiN膜厚とEOTの関係を示す図である。 膜厚15nmのTiN薄膜の結晶性を調べたXRD(X-Ray Diffraction)の結果である。 本発明の一例に係るTiNの成膜シーケンスを示した図である。 図3に示すA、B、Cの各TiNを成膜する条件におけるデポレート(deposition rate)を示す図である。 配向性を変化させた時のTiN膜厚に対する仕事関数の変化を示す図である。 TiN膜のTEM像を示す図である。 (a)〜(e)は、第1の実施形態に係る半導体装置の製造方法を示す断面図である。 TiN膜を形成するための成膜装置の概略構成を示す図である。 本発明の第2の実施形態に係る半導体装置の概略構成を示す断面図である。 (a)〜(d)は、第2の実施形態に係る半導体装置の製造方法を示す断面図である。 結晶配向性が(111)と(200)で同等な第1のTiN膜上に膜厚を5、10、15nmと変化させた第2のTiN膜を形成した場合のEOTの変化を示す図である。 本発明の第3の実施形態に係る半導体装置の概略構成を示す断面図である。 (a)〜(e)は、第3の実施形態に係る半導体装置の製造方法を示す断面図である。
符号の説明
101 半導体基板
102 n型ウェル領域
103 p型ウェル領域
104 素子分離層
105 PMOS
106 NMOS
107 p型不純物拡散層
108 p型エクステンション層
109 第2のゲート絶縁膜
109a 高誘電体膜
110 第2のゲート電極
110a、116a TiN膜
111 第2の上部ゲート電極
112 第2のサイドウォール
113 n型不純物拡散層
114 n型エクステンション層
115 第1のゲート絶縁膜
116 第1のゲート電極
117 第1の上部ゲート電極
118 第1のサイドウォール
201、202 ガス供給口
203 シャワープレート
204 ステージヒータ
205 ウェハ
206 間隔
208、207 マスフローコントローラー
209 液体ガスバブラーキャビネット
301 下層ゲート電極
301a 第1のTiN膜
302 上層ゲート電極
302a 第2のTiN膜
303 第1のTiN電極
401 第2の元素偏析層
401a 第2の偏析層
402 第1の元素偏析層
402a 第1の偏析層

Claims (10)

  1. 上部にp型層とn型層が設けられた半導体基板と、
    前記p型層上に設けられた第1のゲート絶縁膜と、前記第1のゲート絶縁膜上に設けられ、TiNからなる第1のゲート電極と、前記第1のゲート電極上に設けられ、不純物を含む半導体からなる第1の上部ゲート電極とを有するNチャネル型MOSトランジスタと、
    前記n型層上に設けられた第2のゲート絶縁膜と、前記第2のゲート絶縁膜上に設けられ、柱状結晶のTiN結晶からなり、(111)配向/(200)配向が1.5以上となるTiN層からなる第2のゲート電極と、前記第2のゲート電極上に設けられ、不純物を含む半導体からなる第2の上部ゲート電極とを有するPチャネル型MOSトランジスタとを備え
    前記第2のゲート電極の膜厚は5nm以上25nm以下であって、前記第2のゲート絶縁膜の電気的膜厚(EOT)が1.4nm以下である半導体装置。
  2. 前記第2のゲート電極の全体が(111)配向/(200)配向が1.5以上となるTiN結晶で構成されており、
    前記第1のゲート電極は、(111)配向/(200)配向が1.5以上で、前記第2のゲート電極よりも膜厚が薄いTiN結晶で構成されていることを特徴とする請求項1に記載の半導体装置。
  3. 前記第1のゲート電極は(111)配向/(200)配向が0.8以上1.2以下のTiN結晶で構成されており、前記第1のゲート電極の膜厚は4nm以上10nm以下であることを特徴とする請求項1に記載の半導体装置。
  4. 前記第2のゲート電極は、(111)配向/(200)配向が0.8以上1.2以下のTiN結晶からなる第1のTiN層と、(111)配向/(200)配向が1.5以上のTiN結晶からなる第2のTiN層とで構成されていることを特徴とする請求項1またはに記載の半導体装置。
  5. 前記第1のゲート絶縁膜の内部または前記第1のゲート絶縁膜と前記第1のゲート電極との界面にLaまたはMgが偏析され、
    前記第2のゲート絶縁膜の内部または前記第2のゲート絶縁膜と前記第2のゲート電極との界面にAlまたはTaが偏析されていることを特徴とする請求項1〜のうちいずれか1つに記載の半導体装置。
  6. 前記第1のゲート絶縁膜及び前記第2のゲート絶縁膜は、高誘電体で構成されていることを特徴とする請求項1〜のうちいずれか1つに記載の半導体装置。
  7. 半導体基板の上部にp型層及びn型層を形成する工程(a)と、
    前記p型層上に、下から順に第1のゲート絶縁膜、柱状結晶のTiNからなる第1のゲート電極、及び不純物を含む半導体からなる第1の上部ゲート電極を有するNチャネル型MOSトランジスタを形成するとともに、前記n型層上に、下から順に第2のゲート絶縁膜、柱状結晶のTiN結晶からなり、(111)配向/(200)配向が1.5以上となるTiN層からなる第2のゲート電極、及び不純物を含む半導体からなる第2の上部ゲート電極を有するPチャネル型MOSトランジスタを形成する工程(b)とを備え
    前記第2のゲート電極の膜厚は5nm以上25nm以下であって、前記第2のゲート絶縁膜の電気的膜厚(EOT)が1.4nm以下である半導体装置の製造方法。
  8. 前記工程(b)は、
    前記半導体基板上に絶縁膜を形成する工程(b1)と、
    ガス供給口と前記半導体基板との間隔を25mm以上にし、66.7Pa以下でTiの原料ガスとNの原料ガスとを交互に供給することで前記絶縁膜上に(111)配向/(200)配向が1.5以上となるTiN層を形成する工程(b2)と、
    前記TiN層のうち前記p型層の上方に位置する部分の一部を選択的に除去し、前記p型層の上方における前記TiN層の膜厚を、前記n型層の上方における前記TiN層の膜厚より薄くする工程(b3)と、
    前記TiN層の上に半導体膜を形成する工程(b4)と、
    前記半導体層、前記TiN層、前記絶縁膜をパターニングして前記p型層上に前記絶縁膜の一部からなる前記第1のゲート絶縁膜、前記TiN層の一部からなる前記第1のゲート電極、及び前記半導体膜の一部からなる前記第1の上部ゲート電極を形成するとともに、前記n型層上に前記絶縁膜の一部からなる前記第2のゲート絶縁膜、前記TiN層からなる前記第2のゲート電極、及び前記半導体膜の一部からなる前記第2の上部ゲート電極を形成する工程(b5)とを含んでいることを特徴とする請求項に記載の半導体装置の製造方法。
  9. 前記第2のゲート電極は下層ゲート電極と、前記下層ゲート電極上に設けられた上層ゲート電極とを有しており、
    前記工程(b)は、
    前記半導体基板上に絶縁膜を形成する工程(b6)と、
    ガス供給口と前記半導体基板との間隔を20mm以下にし、400Pa以上でTiの原料ガスとNの原料ガスとを交互に供給することで前記絶縁膜上に(111)配向/(200)配向が0.8以上1.2以下となる第1のTiN層を形成する工程(b7)と、
    前記ガス供給口と前記半導体基板との間隔を25mm以上にし、66.7Pa以下でTiの原料ガスとNの原料ガスとを交互に供給することで前記第1のTiN層上に(111)配向/(200)配向が1.5以上となる第2のTiN層を形成する工程(b8)と、
    前記第2のTiN層のうち前記p型層の上方に形成された部分を選択的に除去する工程(b9)と、
    前記第1のTiN層及び前記第2のTiN層の上に半導体膜を形成する工程(b10)と、
    前記半導体層、前記第2のTiN層、前記第1のTiN層、前記絶縁膜をパターニングして前記p型層上に前記絶縁膜の一部からなる前記第1のゲート絶縁膜、前記第1のTiN層の一部からなる前記第1のゲート電極、及び前記半導体膜の一部からなる前記第1の上部ゲート電極を形成するとともに、前記n型層上に前記絶縁膜の一部からなる前記第2のゲート絶縁膜、前記第1のTiN層の一部からなる前記下層ゲート電極、前記第2のTiN層の一部からなる前記上層ゲート電極、及び前記半導体膜の一部からなる前記第2の上部ゲート電極を形成する工程(b11)とを含んでいることを特徴とする請求項に記載の半導体装置の製造方法。
  10. 前記第2のゲート電極は下層ゲート電極と、前記下層ゲート電極上に設けられた上層ゲート電極とを有しており、
    前記工程(b)は、
    前記半導体基板上に絶縁膜を形成する工程(b12)と、
    前記絶縁膜上にAl酸化物、Ta酸化物、Al窒化物、及びTa窒化物のうちいずれかからなる第1の偏析層を形成する工程(b13)と、
    ガス供給口と前記半導体基板との間隔を20mm以下にし、400Pa以上でTiの原料ガスとNの原料ガスとを交互に供給することで前記第1の偏析層の上に(111)配向/(200)配向が0.8以上1.2以下となる第1のTiN層を形成する工程(b14)と、
    前記第1のTiN層のうち前記p型層の上方に形成された部分を除去する工程(b15)と、
    前記工程(b15)の後、前記絶縁膜及び前記第1のTiN層の上にLa酸化物、Mg酸化物、La窒化物、及びMg窒化物のうちいずれかからなる第2の偏析層を前記p型層の上方に選択的に形成する工程(b16)と、
    熱処理によって前記絶縁膜の内部、または前記絶縁膜の上面のうち前記p型層の上方に形成された部分にLaまたはMgを偏析させ、前記絶縁膜の内部、または前記絶縁膜の上面のうち前記n型層の上方に形成された部分にAlまたはTaを偏析させる工程(b17)と、
    前記ガス供給口と前記半導体基板との間隔を25mm以上にし、66.7Pa以下でTiの原料ガスとNの原料ガスとを交互に供給することで前記第2の偏析層及び前記第1のTiN層上に(111)配向/(200)配向が1.5以上となる第2のTiN層を形成する工程(b18)と、
    前記第2のTiN層の上に半導体膜を形成する工程(b19)と、
    前記半導体層、前記第2のTiN層、前記第1のTiN層、前記第2の偏析層、前記第1の偏析層、及び前記絶縁膜をパターニングして前記p型層上に前記絶縁膜の一部からなる前記第1のゲート絶縁膜、前記第2の偏析層の一部からなる第2の元素偏析層、前記第2のTiN層の一部からなる前記第1のゲート電極、及び前記半導体膜の一部からなる前記第1の上部ゲート電極を形成するとともに、前記n型層上に前記絶縁膜の一部からなる前記第2のゲート絶縁膜、前記第1の偏析層の一部からなる第1の元素偏析層、前記第1のTiN層の一部からなる前記下層ゲート電極、前記第2のTiN層の一部からなる前記上層ゲート電極、及び前記半導体膜の一部からなる前記第2の上部ゲート電極を形成する工程(b20)とを含んでいることを特徴とする請求項に記載の半導体装置の製造方法。
JP2008289773A 2008-11-12 2008-11-12 半導体装置及びその製造方法 Expired - Fee Related JP4647682B2 (ja)

Priority Applications (3)

Application Number Priority Date Filing Date Title
JP2008289773A JP4647682B2 (ja) 2008-11-12 2008-11-12 半導体装置及びその製造方法
PCT/JP2009/004439 WO2010055603A1 (ja) 2008-11-12 2009-09-08 半導体装置及びその製造方法
US13/005,196 US8476714B2 (en) 2008-11-12 2011-01-12 Semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
JP2008289773A JP4647682B2 (ja) 2008-11-12 2008-11-12 半導体装置及びその製造方法

Publications (2)

Publication Number Publication Date
JP2010118443A JP2010118443A (ja) 2010-05-27
JP4647682B2 true JP4647682B2 (ja) 2011-03-09

Family

ID=42169750

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2008289773A Expired - Fee Related JP4647682B2 (ja) 2008-11-12 2008-11-12 半導体装置及びその製造方法

Country Status (3)

Country Link
US (1) US8476714B2 (ja)
JP (1) JP4647682B2 (ja)
WO (1) WO2010055603A1 (ja)

Families Citing this family (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5323278A (en) * 1992-09-17 1994-06-21 International Business Machines Corporation Low noise amplifier circuit for magnetoresistive sensors for fast read-write switching in low supply voltage applications
EP2461354A4 (en) * 2009-07-29 2015-11-11 Canon Anelva Corp SEMICONDUCTOR DEVICE AND METHOD FOR MANUFACTURING THE SAME
US8343839B2 (en) 2010-05-27 2013-01-01 International Business Machines Corporation Scaled equivalent oxide thickness for field effect transistor devices
JP2013191808A (ja) * 2012-03-15 2013-09-26 Elpida Memory Inc 半導体装置及び半導体装置の製造方法
US8659077B1 (en) 2012-09-13 2014-02-25 International Business Machines Corporation Multi-layer work function metal replacement gate
CN103855013A (zh) * 2012-11-30 2014-06-11 中国科学院微电子研究所 N型mosfet的制造方法
US20140167142A1 (en) * 2012-12-14 2014-06-19 Spansion Llc Use Disposable Gate Cap to Form Transistors, and Split Gate Charge Trapping Memory Cells
US9231206B2 (en) 2013-09-13 2016-01-05 Micron Technology, Inc. Methods of forming a ferroelectric memory cell
KR102295641B1 (ko) 2015-03-02 2021-08-27 삼성전자주식회사 반도체 소자 및 그 제조방법
CN106571299B (zh) * 2015-10-10 2020-03-10 中芯国际集成电路制造(上海)有限公司 Pmos晶体管及其形成方法
US10804161B2 (en) * 2016-12-15 2020-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS FinFET structures including work-function materials having different proportions of crystalline orientations and methods of forming the same
JP7101204B2 (ja) * 2020-01-31 2022-07-14 株式会社Kokusai Electric 半導体装置の製造方法、プログラム、基板処理装置及び基板処理方法
US11610822B2 (en) * 2020-01-31 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Structures for tuning threshold voltage

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003289140A (ja) * 2002-03-27 2003-10-10 Toshiba Corp 電界効果トランジスタ
JP2006093670A (ja) * 2004-08-25 2006-04-06 Nec Electronics Corp 半導体装置およびその製造方法
JP2006165090A (ja) * 2004-12-03 2006-06-22 Handotai Rikougaku Kenkyu Center:Kk Mos型半導体装置の製造方法およびmos型半導体装置
JP2007110091A (ja) * 2005-09-02 2007-04-26 Infineon Technologies Ag トランジスタ、およびその製造方法
JP2007142153A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP2007184584A (ja) * 2005-12-30 2007-07-19 Internatl Business Mach Corp <Ibm> 金属ゲート電極及びポリゲート電極を有する高性能回路
JP2008147239A (ja) * 2006-12-06 2008-06-26 Toshiba Corp 半導体装置
JP2008211182A (ja) * 2007-01-10 2008-09-11 Interuniv Micro Electronica Centrum Vzw 2つの仕事関数を備えたcmosデバイスの製造方法
JP2008219006A (ja) * 2007-02-28 2008-09-18 Samsung Electronics Co Ltd Cmos半導体素子及びその製造方法

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101163429B1 (ko) * 2002-12-02 2012-07-13 고에키자이단호진 고쿠사이카가쿠 신고우자이단 반도체 장치 및 그 제조 방법
JP4143505B2 (ja) 2003-09-03 2008-09-03 株式会社半導体理工学研究センター Mos型半導体装置及びその製造方法
US7084024B2 (en) * 2004-09-29 2006-08-01 International Business Machines Corporation Gate electrode forming methods using conductive hard mask
JP4557879B2 (ja) 2005-12-09 2010-10-06 株式会社東芝 半導体装置及びその製造方法
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
TWI334260B (en) * 2006-08-15 2010-12-01 Delta Electronics Inc Flyback converter with synchronous rectifier
EP1914800A1 (en) 2006-10-20 2008-04-23 Interuniversitair Microelektronica Centrum Method of manufacturing a semiconductor device with multiple dielectrics
JP5305630B2 (ja) 2006-12-05 2013-10-02 キヤノン株式会社 ボトムゲート型薄膜トランジスタの製造方法及び表示装置の製造方法
US7776680B2 (en) * 2008-01-03 2010-08-17 International Business Machines Corporation Complementary metal oxide semiconductor device with an electroplated metal replacement gate

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003289140A (ja) * 2002-03-27 2003-10-10 Toshiba Corp 電界効果トランジスタ
JP2006093670A (ja) * 2004-08-25 2006-04-06 Nec Electronics Corp 半導体装置およびその製造方法
JP2006165090A (ja) * 2004-12-03 2006-06-22 Handotai Rikougaku Kenkyu Center:Kk Mos型半導体装置の製造方法およびmos型半導体装置
JP2007110091A (ja) * 2005-09-02 2007-04-26 Infineon Technologies Ag トランジスタ、およびその製造方法
JP2007142153A (ja) * 2005-11-18 2007-06-07 Tokyo Electron Ltd 半導体装置およびその製造方法
JP2007184584A (ja) * 2005-12-30 2007-07-19 Internatl Business Mach Corp <Ibm> 金属ゲート電極及びポリゲート電極を有する高性能回路
JP2008147239A (ja) * 2006-12-06 2008-06-26 Toshiba Corp 半導体装置
JP2008211182A (ja) * 2007-01-10 2008-09-11 Interuniv Micro Electronica Centrum Vzw 2つの仕事関数を備えたcmosデバイスの製造方法
JP2008219006A (ja) * 2007-02-28 2008-09-18 Samsung Electronics Co Ltd Cmos半導体素子及びその製造方法

Also Published As

Publication number Publication date
US8476714B2 (en) 2013-07-02
JP2010118443A (ja) 2010-05-27
US20110108924A1 (en) 2011-05-12
WO2010055603A1 (ja) 2010-05-20

Similar Documents

Publication Publication Date Title
JP4647682B2 (ja) 半導体装置及びその製造方法
JP5285519B2 (ja) 半導体装置及びその製造方法
US9070749B2 (en) Semiconductor device including fluorine-free tungsten barrier layer and method for fabricating the same
JP6218384B2 (ja) タングステンゲート電極を備えた半導体装置の製造方法
JP2011014689A5 (ja)
US7172934B2 (en) Method of manufacturing a semiconductor device with a silicon-germanium gate electrode
WO2011027481A1 (ja) 半導体装置及びその製造方法
CN101523593B (zh) 半导体装置制造方法以及半导体装置
US20150140838A1 (en) Two Step Deposition of High-k Gate Dielectric Materials
JP5456150B2 (ja) 半導体装置及びその製造方法
US9034749B2 (en) Gate electrode with stabilized metal semiconductor alloy-semiconductor stack
JP2012104735A (ja) 半導体装置及びその製造方法
US20120295417A1 (en) Selective epitaxial growth by incubation time engineering
JP2010034440A (ja) 半導体装置及びその製造方法
JP2005045166A (ja) 半導体装置及びその製造方法
JP3987046B2 (ja) 半導体装置の製造方法
JP5195421B2 (ja) 半導体装置
KR100755072B1 (ko) 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100712988B1 (ko) 탄탈륨란탄옥사이드(TaLaO) 게이트절연막을 갖는반도체소자 및 그 제조방법
KR100745073B1 (ko) 하프늄니오븀옥사이드(HfNbO) 게이트절연막을 갖는반도체소자 및 그 제조방법
KR100721202B1 (ko) 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100721203B1 (ko) 3원계 옥사이드 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100702130B1 (ko) 고유전율의 게이트절연막을 갖는 반도체소자 및 그제조방법
KR100702133B1 (ko) 티타늄란탄옥사이드(TiLaO) 게이트절연막을 갖는반도체소자 및 그 제조방법
KR100721208B1 (ko) 고유전율의 게이트 절연막을 갖는 반도체 소자 및 그제조방법

Legal Events

Date Code Title Description
A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20100720

A521 Written amendment

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20100916

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20101124

A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20101208

FPAY Renewal fee payment (event date is renewal date of database)

Free format text: PAYMENT UNTIL: 20131217

Year of fee payment: 3

R150 Certificate of patent or registration of utility model

Free format text: JAPANESE INTERMEDIATE CODE: R150

LAPS Cancellation because of no payment of annual fees