US20070178634A1 - Cmos semiconductor devices having dual work function metal gate stacks - Google Patents

Cmos semiconductor devices having dual work function metal gate stacks Download PDF

Info

Publication number
US20070178634A1
US20070178634A1 US11/550,602 US55060206A US2007178634A1 US 20070178634 A1 US20070178634 A1 US 20070178634A1 US 55060206 A US55060206 A US 55060206A US 2007178634 A1 US2007178634 A1 US 2007178634A1
Authority
US
United States
Prior art keywords
gate
layer
conductive
conductive layer
layers
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/550,602
Inventor
Hyung Suk Jung
Jong Ho Lee
Sung Kee Han
Ju Youn Kim
Jung Min Park
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
NIHON PROPOLIS CO Ltd
Samsung Electronics Co Ltd
Original Assignee
NIHON PROPOLIS CO Ltd
Samsung Electronics Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Assigned to NIHON PROPOLIS CO., LTD. reassignment NIHON PROPOLIS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MIDORIKAWA, KIYOSHI, KADOTA, SHIGETOSHI, MATSUSHIGE, KATSUMICHI
Application filed by NIHON PROPOLIS CO Ltd, Samsung Electronics Co Ltd filed Critical NIHON PROPOLIS CO Ltd
Assigned to SAMSUNG ELECTRONICS CO., LTD. reassignment SAMSUNG ELECTRONICS CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: KIM, JU YOUN, HAN, SUNG KEE, JUNG, HYUNG SUK, LEE, JONG HO, PARK, JUNG MIN
Priority to JP2007016502A priority Critical patent/JP2007208260A/en
Priority to DE102007005328A priority patent/DE102007005328A1/en
Priority to TW096103481A priority patent/TW200731540A/en
Publication of US20070178634A1 publication Critical patent/US20070178634A1/en
Priority to US11/966,640 priority patent/US7829953B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0922Combination of complementary transistors having a different structure, e.g. stacked CMOS, high-voltage and low-voltage CMOS
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/20Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIIBV compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/22Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only AIIBVI compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/24Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only semiconductor materials not provided for in groups H01L29/16, H01L29/18, H01L29/20, H01L29/22
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET

Definitions

  • the present invention relates, generally, to CMOS semiconductor devices having dual work function metal gate structures, as well as methods for fabricating dual metal gate stack structures providing separate gate work function control for PMOS and NMOS transistors.
  • CMOS semiconductor integrated circuits are formed with pairs of p-channel MOS (PMOS) and n-channel MOS (NMOS) transistors that work cooperatively with each other.
  • CMOS semiconductor devices have higher operation efficiency and speed as compared to semiconductor devices formed using only PMOS transistors.
  • CMOS technology has good scaling characteristics, which has allowed development of semiconductor integrated circuit devices with increasingly higher integration densities.
  • CMOS technology is commonly used to fabricate semiconductor devices for highly-integrated and high-performance applications.
  • supply voltages and MOS transistor threshold voltages must also be continually scaled down to maintain high-performance and high-reliability.
  • the aggressive downscaling of CMOS transistors has posed technological challenges with respect to development of gate stack structures having well-controlled and reproducible work functions/threshold voltages.
  • FIG. 1A illustrates a conventional CMOS gate structure for MOS devices.
  • FIG. 1A illustrates, a gate structure ( 10 ) formed on a semiconductor substrate ( 11 ).
  • the gate structure ( 10 ) comprises a polysilicon (poly-si) gate electrode ( 10 a ) and a gate dielectric layer ( 10 b ) interposed between the gate electrode ( 10 a ) and the semiconductor substrate ( 11 ).
  • the gate dielectric layer ( 10 b ) is formed of a thermally grown silicon oxide, for example.
  • the conventional gate structure ( 10 ) is insufficient to meet performance requirements in nanoscale CMOS technology.
  • the contact area of the poly-si gate electrode ( 10 a ) is significantly decreased, thereby requiring the thickness of the gate dielectric layer ( 10 b ), such as silicon oxide, to be decreased so as to maintain the gate capacitance that is required for proper device performance.
  • a poly-si gate stack structure (such as depicted in FIG. 1A ) is formed with ultra-thin gate dielectric layer
  • device performance can be significantly degraded due to poly-si gate depletion (i.e., PDE (poly-gate depletion) effect), high gate resistance (smaller poly gate), increased gate dielectric tunneling leakage current, and other well-known problems.
  • PDE poly-gate depletion
  • a thin depletion layer is formed between the polysilicon gate electrode ( 10 a ) and the thin gate dielectric layer ( 10 b ), which increases the equivalent gate oxide thickness, resulting in a reduction of the total gate capacitance.
  • high-K gate dielectric materials were considered for use as gate dielectric layers for poly-si gate stacks, which allowed for thicker gate dielectric layers under the same effective oxide thickness.
  • This approach is effective to eliminate gate dielectric tunneling leakage, but there are compatibility problems when interfacing high-K dielectric materials with poly-Si gate electrodes.
  • oxidants in the high-k dielectric layer can easily diffuse into the poly-Si gate electrode forming a silicon oxide layer at the interface, resulting in decreased gate capacitance.
  • gate stack structures formed with high-k dielectrics layers interfaced with poly-Si gate electrodes do not overcome the PDE effect.
  • FIG. 1B illustrates a conventional CMOS gate structure ( 20 ) formed on a semiconductor substrate ( 21 ).
  • the gate structure ( 20 ) comprises a polysilicon gate electrode ( 20 a ), a gate dielectric layer ( 20 b ), and a metal gate layer ( 20 c ) interposed between the poly-si electrode ( 20 a ) and the gate dielectric layer ( 20 b ).
  • the same metallic material is used to form the metal gate layer ( 20 c ) for both the PMOS and NMOS gate stacks.
  • the metal gate layer ( 20 c ) is effective to prevent gate depletion effects and dopant penetration from the poly-si gate into the gate dielectric layer, a drawback to this approach is that the threshold voltages of the PMOS and NMOS transistors are determined primarily by the work function of the inserted metal gate layer ( 20 c ).
  • metal gates with work functions corresponding to the conduction and valence band edges of Si are optimal for bulk-Si NMOS and PMOS transistors, respectively.
  • single work function metal gate technologies must balance between the optimal work functions for the NMOS and PMOS transistors.
  • the metal gate layer for the NMOS and PMOS transistors may be formed of a metal having a Fermi level between energy levels of conduction and valence bands of the semiconductor layer.
  • a drawback to this approach is that the threshold voltages Vth of the transistors are increased to levels that cannot be effectively reduced using channel counter doping techniques.
  • single work function metal gate CMOS technologies may be ineffective to meet the threshold voltage scaling requirements that are needed to achieve low-power consumption and high speed device performance.
  • the gate metal layers of the NMOS and PMOS gate stacks are formed from different metals having Fermi levels or work functions that correspond to the conduction and valance band edges of Si.
  • the metal layer in the NMOS gate stack can be formed of a metal having a Fermi level similar to the energy level of the conduction band of an n+-doped silicon layer
  • the metal layer in the PMOS gate stack can be formed of a metal having a Fermi level similar to the energy level of the valence band of a p+-doped silicon layer.
  • a conventional dual metal gate stack fabrication process includes forming a gate dielectric layer on a semiconductor substrate and forming a first metal layer on the gate dielectric layer, wherein the first metal layer is selected to set the work function for, e.g., the NMOS gate. Thereafter, the first metal layer is patterned to remove the portion of the first metal layer in the PMOS region. A second metal layer is then formed over the exposed gate dielectric layer in the PMOS region, wherein the second metal layer is selected to set the work function for, e.g., the PMOS gate. The second metal layer is then etched to remove the portion of the second metal layer in the NMOS region that is formed over the first metal layers. In this process, when the first metal layer is etched, the gate dielectric layer in the PMOS active region is used as an etch stop. Consequently, the gate dielectric layer in the PMOS stack can be damaged by the processing steps.
  • the gate dielectric layer is removed and a new gate dielectric layer is formed (i.e., removing potentially damaged gate dielectric layer).
  • This approach is effective to improve the quality of the gate dielectric layer, but can result in damage to the first metal layer during fabrication of the new gate dielectric layer.
  • the first metal layer can be oxidized when an oxidation process is employed to thermally grow an oxide layer for the gate dielectric.
  • the new dielectric layer is formed using thin film deposition techniques (e.g., PVD), the exposed region of the active silicon and gate dielectric layer can be damaged during the plasma process.
  • exemplary embodiments of the present invention include CMOS semiconductor devices having dual work function metal gate structures, as well as methods for fabricating dual metal gate stack structures providing dual gate work function control for PMOS and NMOS transistors.
  • Exemplary fabrication techniques according to the invention in consideration of material characteristics and thin film processing techniques to significantly reduce or otherwise eliminate impact on gate dielectric reliability,
  • a semiconductor device in one exemplary embodiment of the invention includes a semiconductor substrate having a dual-gate CMOS device formed on a front-side of the semiconductor substrate.
  • the dual-gate CMOS device includes a PMOS device and an NMOS device.
  • the PMOS device has a first gate stack formed of a gate insulator layer formed on the semiconductor substrate, a first conductive layer formed on the gate insulator layer, a second conductive layer formed on the first conductive layer, and a third conductive layer formed on the second conductive layer.
  • the NMOS device has a second gate stack including a gate insulator layer formed on the semiconductor substrate, a first conductive layer formed on the gate insulator layer, and a second conductive layer formed on the first conductive layer.
  • the second conductive layers of the first and second gate stacks are formed from different conductive materials.
  • the first conductive layers of the first and second gate stacks are formed of a same conductive material having substantially the same thickness.
  • the first conductive layers of the first and second gate stack are formed of TaN or TiN.
  • the thickness and the conductive material of the first conductive layers of the first and second gate stacks is selected to modulate a work function of the NMOS device.
  • the thickness and a conductive material of the second conductive layer of the first gate stack is selected to modulate a work function of the PMOS device.
  • the first, second and third conductive layers of the first gate stack are formed of different conductive materials.
  • the first conductive layer is preferably formed of a material that has an etch selectivity that is larger than an etch selectivity of the different materials that form the second and third conductive layers of the first gate stack with regard to an HF etching solution, for example. This enables removal of the portions of the second and third layers in the region of the second gate stack during a fabrication process.
  • the first, second and third conductive layers of the first gate stack of the PMOS device are formed of TaN, AlN and HfN, respectively.
  • the gate insulating layers of the first and second gate stacks is formed of a dielectric material having a dielectric constant in a range of about 8 and greater.
  • An interfacial layer may be interposed between the gate insulating layers and the semiconductor substrate to prevent reaction between the high-k dielectric material and the silicon substrate.
  • the gate insulating layers of the first and second gate stacks may be formed of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, yttrium oxide, or aluminum oxide.
  • FIG. 1A is a cross-sectional schematic view of a conventional gate stack structure of a MOSFET transistor.
  • FIG. 1B is a cross-sectional schematic view of another conventional gate stack structure of a MOSFET transistor.
  • FIG. 2 is a cross-sectional schematic view of a CMOS transistor pair having dual work function metal gate structures in accordance with an exemplary embodiment of the invention.
  • FIGS. 3A-3E are cross-sectional schematic views of the CMOS transistor pair of FIG. 2 at various stages of a CMOS fabrication process according to an exemplary embodiment of the invention.
  • FIGS. 4A and 4B are exemplary illustration of experimental data of threshold voltage as a function of thickness of a gate metal layer for NMOS and PMOS devices.
  • FIG. 2 is a cross-sectional schematic view of a CMOS transistor pair having dual work function metal gate stack structures according to an exemplary embodiment of the invention.
  • FIG. 2 illustrates a semiconductor device ( 100 ) comprising a semiconductor substrate ( 101 ) having an NMOS transistor region ( 101 a ) and a PMOS transistor region ( 101 b ).
  • the NMOS transistor region ( 101 a ) includes an NMOS transistor comprising n-doped drain/source diffusion regions ( 170 ) formed in a p-doped device well, and a gate stack structure ( 140 ) comprising a gate dielectric layer ( 103 a ), and a gate electrode ( 141 ).
  • the gate electrode ( 141 ) comprises a first conductive layer ( 111 a ) formed on the gate dielectric layer ( 103 a ) and a second conductive layer ( 120 a ) formed on the first conductive layer ( 111 a ).
  • An optional interfacial layer ( 102 a ) is interposed between the gate dielectric layer ( 103 a ) and the substrate.
  • the PMOS transistor region ( 101 b ) includes a PMOS transistor comprising p-doped drain/source diffusion regions ( 171 ) formed in an n-doped device well, and a gate stack structure ( 150 ) comprising a gate dielectric layer ( 103 b ) and a gate electrode ( 151 ).
  • the gate electrode ( 151 ) comprises a first conductive layer ( 111 b ), a second conductive layer ( 113 b ), a third conductive layer ( 115 b ) and a fourth conductive layer ( 120 b ) formed cover the gate dielectric layer ( 103 b ).
  • the gate structures ( 140 and ( 150 ) of respective NMOS and PMOS transistors have respective insulating spacers ( 160 a , 160 b ) formed on the sidewall surfaces and insulating caps ( 130 a , 130 b ) formed on the top surfaces of respective gate stacks ( 140 , 150 ).
  • the NMOS gate structure ( 140 ) comprises a metal-inserted gate layer comprising the first conductive layer ( 111 a ) (single metal layer) interposed between the gate dielectric layer ( 103 a ) and a polysilicon layer ( 120 a ).
  • An optional interfacial layer ( 102 b ) is interposed between the gate dielectric layer ( 103 b ) and the substrate.
  • the PMOS gate structure ( 150 ) comprises a metal-inserted gate layer comprising a stack of three conductive metal layers ( 111 b / 113 b / 115 b ), interposed between the gate dielectric layer ( 103 b ) and a polysilicon layer ( 120 b ).
  • the metal layer ( 111 a ) is formed of a first metallic material and thickness so that the NMOS gate has a work function similar to the work function of the p-doped silicon and to control the threshold voltage of the NMOS transistor.
  • the metal-inserted layer of the PMOS gate stack ( 150 ) is formed of three metal layers ( 111 b / 113 b / 115 b ) with materials and thickness selected to provide an effective work function of the PMOS is the same or similar to the work function of the n-doped silicon and control the threshold voltage of the PMOS device.
  • CMOS transistors Various dielectric/metal material systems have been considered for constructing the gate stacks ( 140 ) and ( 150 ) to achieve well controlled dual gate work functions.
  • TaN as an inserted metal on the threshold voltage characteristics of CMOS transistors
  • the threshold voltage of an NMOS transistor significantly increases as a function of increase in thickness of TaN gate layer
  • the threshold voltage of a PMOS transistor slightly decreases and levels off as the thickness of the TaN gate layer increases.
  • FIGS. 4A and 4B the effect of the threshold voltages of the NMOS and PMOS transistors with TaN as an inserted metal and HfO2 as a gate dielectric layer is illustrated in FIGS. 4A and 4B , respectively.
  • threshold voltages of about 0.55 and ⁇ 0.6 for respective NMOS and PMOS transistors can generally be achieved using a thin layer of TaN (10 angstroms) for the NMOS device and a thick TaN (40 angstroms) for the PMOS device.
  • a material such as AlN a metal gate layer can further decrease the threshold voltage of a PMOS transistor.
  • a further reduction in threshold voltage of the PMOS device can be achieved by interposing a thin layer (e.g., 10 angstroms) of ALN (or a material having similar characteristic) between two layers of TaN of thickness of 20 angstroms (or metal layers having similar characteristics of TaN).
  • the first conductive layer ( 111 ) is formed to provide a work function from about 4.0 to about 4.4 eV for the NMOS gate electrode.
  • the first conductive layer ( 111 ) can be formed of metals such as W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals.
  • the first conductive layer ( 111 a ) can be formed of a metallic nitride material such as TiN or TaN having a suitable work function to set the threshold voltage of the NMOS device.
  • the stack of conductive layers ( 111 b / 113 b / 115 b ) are formed of conductive materials and thicknesses that are selected to determine an effective work function of the PMOS gate electrode from about 4.7 to about 5.1 eV for the PMOS gate electrode.
  • the second conductive layer ( 113 b ) is provided to modulate the work function of the PMOS gate ( 150 ) using a metal having a suitable work function so as to effectively increase the work function of the PMOS gate electrode.
  • the second conductive layer ( 113 b ) can be formed of a metal such as Al, La Y, or an oxide or nitride of such metals.
  • the third conductive layer ( 115 b ) is formed of a conductive material and thicknesses that provides further reduction of the threshold voltage of the PMOS transistor.
  • the third conductive layer ( 115 b ) may be formed of a material that is the same as or similar to the material of the first conductive layer ( 111 b ).
  • the third conductive layer may be formed of W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals.
  • dual work function control can be obtained for CMOS transistor where the NMOS gate stack ( 140 ) is formed of a high-k dielectric layer/thin TaN metal layer/poly-Si gate electrode and the PMOS gate stack ( 150 ) is formed of high-k dielectric layer/thin TaN metal layer/thin AlN layer/thin HfN layer/poly-Si gate electrode.
  • the first, second and third conductive layers ( 111 b / 113 b / 115 b ) of PMOS gate are formed of different conductive materials TaN (or TiN), AlN (or AlO) and HfN (or TaN), respectively.
  • the TaN and HfN layers have an effective thickness providing a relatively stable threshold voltage for the PMOS device, and the intervening AlN layer provides work function modulation so as to further controllably reduce the threshold voltage of the PMOS device.
  • a CMOS transistor can be constructed having an NMOS gate structure with a metal-inserted gate layer comprising a stack of three conductive metal layers, interposed between a gate dielectric layer and a polysilicon layer.
  • the metal-inserted layer of the NMOS gate stack can be formed with three metal layers with materials and thickness selected to provide an effective work function of the NMOS which is the same or similar to the work function of the p-doped silicon and control the threshold voltage of the PMOS device.
  • the PMOS gate structure may comprise a metal-inserted gate layer comprising the first conductive layer (single metal layer) interposed between the gate dielectric layer and the polysilicon layer, where the metal layer is preferably formed of a first metallic material and thickness so that the PMOS gate has a work function similar to the work function of the n-doped silicon and to control the threshold voltage of the PMOS transistor.
  • the various materials used to form the gate stacks can vary depending on the application and desired work function control and that the above stack frameworks are merely illustrative. Moreover, the materials that are used to form the gate layers may be varied depending on the issues of compatibility (e.g., interactions at the interface of gate layers) and the fabrication processes that are employed.
  • FIGS. 3A ⁇ 3E are schematic cross-sectional views illustrating a method of fabricating a dual work function metal gate CMOS semiconductor device according to an exemplary embodiment of the invention.
  • FIG. 3A illustrates initial process steps starting with a bulk semiconductor substrate ( 101 ) and forming NMOS and PMOS transistor regions ( 101 a ) and ( 101 b ) comprising respective p-doped and n-doped device wells (i.e., active regions) in a surface of the silicon substrate ( 101 ) using known techniques.
  • an isolation region can be formed to define the NMOS and PMOS active regions ( 101 a ) and ( 101 b ) using shallow trench isolation (STI) methods or local oxidation of silicon (LOCOS) and doping the active regions using ion implantation techniques to form the desired n-doped and p-doped device wells.
  • STI shallow trench isolation
  • LOC local oxidation of silicon
  • an optional interfacial layer ( 112 ) can be formed over the substrate ( 101 ) and a gate dielectric layer ( 103 ) is formed on the interfacial layer ( 102 ).
  • the gate dielectric layer ( 103 ) can be silicon oxide formed by a thermal oxidation process.
  • the gate dielectric layer ( 103 ) can be a silicon nitride layer formed by a heat treatment process in a nitrogen atmosphere.
  • the gate dielectric layer ( 103 ) is formed of a silicon oxide or silicon nitride, the interfacial layer ( 102 ) is not needed.
  • the gate dielectric layer ( 103 ) is preferably formed to have a thickness in a range of about 10 angstroms to about 60 angstroms, when formed of a silicon oxide or silicon nitride.
  • the interfacial layer ( 102 ) is preferably formed when the gate dielectric layer ( 103 ) is formed of a high-k dielectric material so as to prevent reaction between the gate dielectric material and the silicon substrate ( 101 ).
  • the interfacial layer ( 102 ) can be formed by a cleaning process including ozone gas and ozonized liquid to form a thin interfacial layer of about less than 1.5 nm in thickness.
  • the gate dielectric layer ( 103 ) can be a high-k dielectric material having a relative dielectric constant that is greater than that of silicon oxide.
  • the high-k dielectric layer ( 103 ) may be formed of a material having a dielectric constant of 8 or higher such as hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, GdO, yttrium oxide, or aluminum oxide, a silicate, or any combination thereof.
  • the high-k gate dielectric layer ( 103 ) can be formed using well-known deposition techniques such as CVD, PVD or ALD.
  • a post deposition appeal (PDA) process can be performed for densification of the high-k dielectric layer ( 103 ) in an environment with N2, NO, N2O, O2 or HNH3 gases and a temperature ranging from about 750° C. to about 1050° C. including N2, NO, N2O, O2 or HNH3 gases.
  • the gate dielectric layer ( 103 ) can be formed of a dielectric material having a dielectric constant in a range of about 8 and greater.
  • the thickness of the gate dielectric layer ( 103 ) will vary depending on the relative dielectric constant of the high-k dielectric material used to form the gate dielectric layer ( 103 ).
  • the thickness of the high-k dielectric layer can be in a range of about 10 angstroms to about 200 angstroms.
  • a series of deposition processes are performed to form a first conductive layer ( 111 ) on the gate dielectric layer ( 103 ), a second conductive layer ( 113 ) on the first conductive layer ( 111 ) and to form a third conductive layer ( 115 ) on the second conductive layer ( 113 ).
  • the conductive layers ( 111 ), ( 113 and ( 115 ) are formed of metallic materials and thicknesses that are selected to define dual work functions for the NMOS and PMOS gate electrodes, while enabling formation of the gate stacks using well-controlled processing steps so as to eliminate or significantly mitigate damage to the gate stack layers during fabrication.
  • the first conductive layer ( 111 ) is formed of a conductive material and thickness that is selected to determine a work function of the NMOS gate electrode (which determines the threshold voltage of the NMOS transistor). In one exemplary embodiment, the first conductive layer ( 111 ) is formed to provide a work function from about 4.0 to about 4.4 eV for the NMOS gate electrode.
  • the first conductive layer ( 111 ) can be formed of metals such as W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals.
  • the first conductive layer ( 111 ) can be formed of a metallic nitride material such as TiN or TaN, which are preferable to provide etch selectively with respect to the second and third conductive layers ( 113 ) and ( 115 ) for a subsequent etching process as described below.
  • the first conductive layer ( 111 ) is preferably formed having a thickness in a range of about 5 angstroms to about 60 angstroms.
  • the stack of conductive layers ( 111 / 113 / 115 ) are formed of conductive materials and thicknesses that are selected to determine an effective work function of the PMOS gate electrode (which determines the threshold voltage of the PMOS transistor). In one exemplary embodiment, the stack of conductive layers ( 111 / 113 / 115 ) is formed to provide an effective work function from about 4.7 to about 5.1 eV for the PMOS gate electrode.
  • the second conductive layer ( 113 ) is provided to modulate the work function of the PMOS gate using a metal having a suitable work function so as to effectively increase the work function of the PMOS gate electrode to provide a work function similar to that of p-type silicon.
  • the second conductive layer ( 113 ) can be formed of a silicon oxide, silicon nitride or a metal such as Al, La Y, or an oxide or nitride of such metals.
  • the second conductive layer ( 113 ) is preferably formed having a thickness in a range of about 1 angstroms to about 30 angstroms, depending on the material that is used.
  • the third conductive layer ( 115 ) is formed of a conductive material and thicknesses that provides further reduction of the threshold voltage of the PMOS transistor.
  • the third conductive layer ( 115 ) may be formed of a material that is the same as or similar to the material of the first conductive layer ( 111 ).
  • the third conductive layer may be formed of W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals.
  • a photo-resist pattern ( 117 ) is formed on the third conductive layer ( 115 ) to expose the NMOS region ( 101 a ) and cover the PMOS region ( 101 b ).
  • the third and second conductive layers ( 115 ) and ( 113 ) are then sequentially etched and removed from the NMOS region ( 101 a ).
  • the etch process may be performed using etching techniques that prevent damage to the metal and gate dielectric layers.
  • the material of the first conductive layer ( 111 ) is selected to have an etch selectively that is greater than that of the materials forming the second and third conductive layers ( 113 ) and ( 115 ).
  • the etch process may be performed using a wet etch process using an HF solution, where the etch selectively of the first conductive layer ( 111 ) for the HF solution is greater than that of the second and third conductive layers ( 113 and 115 ).
  • the second and third conductive layers ( 13 ) and ( 115 ) can be easily removed using the HF wet etch using the first conductive layer ( 111 ) as an etch stop while avoiding etching damage to the first conductive layer ( 111 ), and avoiding damage to the NMOS gate dielectric layer ( 103 a ) (as it is not exposed during the etch step).
  • a gate layer formed of TaN or TiN can not be dissolved in an 200:1 HF solution, but gate layers formed of materials such as HfN and AlN are easily dissolvable in such HF solution.
  • the first conductive layer ( 111 ) is formed of TaN
  • the second conductive layer ( 113 ) is formed of AlN
  • the third conductive layer ( 115 ) is formed of HfN
  • the portion of the second and third conductive layers of AlN and HfN in the NMOS region ( 101 a ) can be readily etched away (high etch rate) to expose the first conductive layer of TaN ( 111 ), without etching or otherwise damaging the first conductive layer ( 111 ).
  • the photoresist pattern ( 117 ) is removed using known techniques.
  • the photoresist pattern ( 117 ) can be removed using an ashing process in an O2 ambient, or in an H2, N2, NH3 or He ambient.
  • a plasma may be generated and fluorinated gases such as CF4 may be added to increase the removal rate of the photoresist pattern ( 117 ).
  • fluorinated gases such as CF4 may be added to increase the removal rate of the photoresist pattern ( 117 ).
  • a non-O2 ashing process is advantageous to avoid degradation or damage to the exposed portions of the gate dielectric layer ( 103 ).
  • the layer of conductive material ( 120 ) may be polysilicon, a metal oxide, a metal nitride, a silicide or any suitable metal.
  • the conducive material ( 120 ) is used to form the third conductive layer ( 120 a ) of the NMOS gate stack ( 140 ) and the fourth conductive layer ( 120 b ) of the PMOS gate stack ( 150 ) ( FIG. 2 ).
  • the layer of conductive material ( 120 ) may be deposited using known techniques and subsequently planarized using known techniques, depending on the material used.
  • a hard mask layer ( 130 ) is deposited and patterned to form a mask pattern ( 130 a , 130 b ) using known techniques, which define the gate stack areas in the NMOS and PMOS regions ( 101 a , 101 b ), respectively.
  • the hard mask layer ( 130 ) may be formed of silicon nitride or other suitable insulating materials using CVD (chemical vapor deposition) or ALD (atomic layer deposition), for example, and subsequently patterned using known photolithographic methods.
  • an anisotropic etch process is performed using the mask pattern ( 130 a , 130 b ) as an etch mask to etch down to the surface of the substrate ( 101 ) and form the gate stack structures ( 140 ) and ( 150 ), such as depicted in FIG. 3D .
  • process steps can be used to form side wall spacers ( 160 a , 160 b ) for the gate stacks ( 140 ) and ( 150 ) and drain-source diffusion regions ( 170 , 171 ) for the NMOS and PMOS transistors.

Abstract

CMOS semiconductor devices having dual work function metal gate structures that are formed using fabrication techniques that enable independent work function control for PMOS and NMOS device and which significantly reduce or otherwise eliminate impact on gate dielectric reliability.

Description

    CROSS-REFERENCE TO RELATED APPLICATION
  • This application claims priority to Korean Patent Application No. 2006-0009367, filed on Jan. 31, 2006, which is incorporated herein by reference.
  • TECHNICAL FIELD OF THE INVENTION
  • The present invention relates, generally, to CMOS semiconductor devices having dual work function metal gate structures, as well as methods for fabricating dual metal gate stack structures providing separate gate work function control for PMOS and NMOS transistors.
  • BACKGROUND
  • In general, complementary metal oxide silicon (CMOS) semiconductor integrated circuits are formed with pairs of p-channel MOS (PMOS) and n-channel MOS (NMOS) transistors that work cooperatively with each other. CMOS semiconductor devices have higher operation efficiency and speed as compared to semiconductor devices formed using only PMOS transistors. Moreover, CMOS technology has good scaling characteristics, which has allowed development of semiconductor integrated circuit devices with increasingly higher integration densities. For these and other reasons, CMOS technology is commonly used to fabricate semiconductor devices for highly-integrated and high-performance applications. As CMOS technology downscales to nanometer levels and beyond, however, supply voltages and MOS transistor threshold voltages must also be continually scaled down to maintain high-performance and high-reliability. The aggressive downscaling of CMOS transistors has posed technological challenges with respect to development of gate stack structures having well-controlled and reproducible work functions/threshold voltages.
  • Conventional CMOS fabrication techniques have employed a polycrystalline silicon (poly-Si) gate electrode process technology. FIG. 1A illustrates a conventional CMOS gate structure for MOS devices. FIG. 1A illustrates, a gate structure (10) formed on a semiconductor substrate (11). The gate structure (10) comprises a polysilicon (poly-si) gate electrode (10 a) and a gate dielectric layer (10 b) interposed between the gate electrode (10 a) and the semiconductor substrate (11). In conventional gate stack designs, the gate dielectric layer (10 b) is formed of a thermally grown silicon oxide, for example. The conventional gate structure (10) is insufficient to meet performance requirements in nanoscale CMOS technology. For example, at nanoscale design rules, the contact area of the poly-si gate electrode (10 a) is significantly decreased, thereby requiring the thickness of the gate dielectric layer (10 b), such as silicon oxide, to be decreased so as to maintain the gate capacitance that is required for proper device performance.
  • When a poly-si gate stack structure (such as depicted in FIG. 1A) is formed with ultra-thin gate dielectric layer, device performance can be significantly degraded due to poly-si gate depletion (i.e., PDE (poly-gate depletion) effect), high gate resistance (smaller poly gate), increased gate dielectric tunneling leakage current, and other well-known problems. In particular, with poly-si gate depletion, a thin depletion layer is formed between the polysilicon gate electrode (10 a) and the thin gate dielectric layer (10 b), which increases the equivalent gate oxide thickness, resulting in a reduction of the total gate capacitance.
  • To overcome problems associated with ultra-thin gate dielectric layers with poly-si gate stacks, high-K gate dielectric materials were considered for use as gate dielectric layers for poly-si gate stacks, which allowed for thicker gate dielectric layers under the same effective oxide thickness. This approach is effective to eliminate gate dielectric tunneling leakage, but there are compatibility problems when interfacing high-K dielectric materials with poly-Si gate electrodes. For examples in the absence of a diffusion barrier, oxidants in the high-k dielectric layer can easily diffuse into the poly-Si gate electrode forming a silicon oxide layer at the interface, resulting in decreased gate capacitance. Moreover, gate stack structures formed with high-k dielectrics layers interfaced with poly-Si gate electrodes do not overcome the PDE effect.
  • Advanced gate stack solutions for nanoscale CMOS devices have employed high-K gate dielectric layers and metal gate electrodes to eliminate the problems of gate depletion, gate dielectric tunneling leakage and limitations in capacitance equivalent thickness downscaling. FIG. 1B illustrates a conventional CMOS gate structure (20) formed on a semiconductor substrate (21). The gate structure (20) comprises a polysilicon gate electrode (20 a), a gate dielectric layer (20 b), and a metal gate layer (20 c) interposed between the poly-si electrode (20 a) and the gate dielectric layer (20 b). In some conventional designs, the same metallic material is used to form the metal gate layer (20 c) for both the PMOS and NMOS gate stacks. Although the metal gate layer (20 c) is effective to prevent gate depletion effects and dopant penetration from the poly-si gate into the gate dielectric layer, a drawback to this approach is that the threshold voltages of the PMOS and NMOS transistors are determined primarily by the work function of the inserted metal gate layer (20 c).
  • Ideally, metal gates with work functions corresponding to the conduction and valence band edges of Si are optimal for bulk-Si NMOS and PMOS transistors, respectively. However, single work function metal gate technologies must balance between the optimal work functions for the NMOS and PMOS transistors. For example, the metal gate layer for the NMOS and PMOS transistors may be formed of a metal having a Fermi level between energy levels of conduction and valence bands of the semiconductor layer. A drawback to this approach is that the threshold voltages Vth of the transistors are increased to levels that cannot be effectively reduced using channel counter doping techniques. Thus, single work function metal gate CMOS technologies may be ineffective to meet the threshold voltage scaling requirements that are needed to achieve low-power consumption and high speed device performance.
  • Accordingly, dual work function metal gate CMOS technologies have been proposed in which the gate metal layers of the NMOS and PMOS gate stacks are formed from different metals having Fermi levels or work functions that correspond to the conduction and valance band edges of Si. For example, the metal layer in the NMOS gate stack can be formed of a metal having a Fermi level similar to the energy level of the conduction band of an n+-doped silicon layer, and the metal layer in the PMOS gate stack can be formed of a metal having a Fermi level similar to the energy level of the valence band of a p+-doped silicon layer.
  • The development of dual work function metal gate techniques have posed technological challenges with regard to selection of metals having material properties which allow for separate control of the NMOS and PMOS gate work functions and which are suitable for integration with CMOS process technologies. By way of specific example, with dual metal gate fabrication processes, consideration should be given to the material characteristics of the gate metal/dielectric materials that are used to form the gate stacks so as to enable tight control and reproducibility of gate work functions. Moreover, consideration should be given the type of thin film processing techniques that are employed for device fabrication so as to prevent damage to the gate dielectric layer, which would degrade electrical performance or otherwise reduce the reliability and expected lifetime of the gate stack structure.
  • For example, a conventional dual metal gate stack fabrication process includes forming a gate dielectric layer on a semiconductor substrate and forming a first metal layer on the gate dielectric layer, wherein the first metal layer is selected to set the work function for, e.g., the NMOS gate. Thereafter, the first metal layer is patterned to remove the portion of the first metal layer in the PMOS region. A second metal layer is then formed over the exposed gate dielectric layer in the PMOS region, wherein the second metal layer is selected to set the work function for, e.g., the PMOS gate. The second metal layer is then etched to remove the portion of the second metal layer in the NMOS region that is formed over the first metal layers. In this process, when the first metal layer is etched, the gate dielectric layer in the PMOS active region is used as an etch stop. Consequently, the gate dielectric layer in the PMOS stack can be damaged by the processing steps.
  • In another conventional method, after the first metal layer is patterned (metal etch process), the gate dielectric layer is removed and a new gate dielectric layer is formed (i.e., removing potentially damaged gate dielectric layer). This approach is effective to improve the quality of the gate dielectric layer, but can result in damage to the first metal layer during fabrication of the new gate dielectric layer. For instance, the first metal layer can be oxidized when an oxidation process is employed to thermally grow an oxide layer for the gate dielectric. Moreover, when the new dielectric layer is formed using thin film deposition techniques (e.g., PVD), the exposed region of the active silicon and gate dielectric layer can be damaged during the plasma process.
  • SUMMARY OF THE INVENTION
  • In general, exemplary embodiments of the present invention include CMOS semiconductor devices having dual work function metal gate structures, as well as methods for fabricating dual metal gate stack structures providing dual gate work function control for PMOS and NMOS transistors. Exemplary fabrication techniques according to the invention in consideration of material characteristics and thin film processing techniques to significantly reduce or otherwise eliminate impact on gate dielectric reliability,
  • In one exemplary embodiment of the invention a semiconductor device includes a semiconductor substrate having a dual-gate CMOS device formed on a front-side of the semiconductor substrate. The dual-gate CMOS device includes a PMOS device and an NMOS device. The PMOS device has a first gate stack formed of a gate insulator layer formed on the semiconductor substrate, a first conductive layer formed on the gate insulator layer, a second conductive layer formed on the first conductive layer, and a third conductive layer formed on the second conductive layer. The NMOS device has a second gate stack including a gate insulator layer formed on the semiconductor substrate, a first conductive layer formed on the gate insulator layer, and a second conductive layer formed on the first conductive layer.
  • In one exemplary embodiment, the second conductive layers of the first and second gate stacks are formed from different conductive materials. In another embodiment, the first conductive layers of the first and second gate stacks are formed of a same conductive material having substantially the same thickness. For example, the first conductive layers of the first and second gate stack are formed of TaN or TiN. The thickness and the conductive material of the first conductive layers of the first and second gate stacks is selected to modulate a work function of the NMOS device. The thickness and a conductive material of the second conductive layer of the first gate stack is selected to modulate a work function of the PMOS device.
  • In one exemplary embodiment, the first, second and third conductive layers of the first gate stack are formed of different conductive materials. The first conductive layer is preferably formed of a material that has an etch selectivity that is larger than an etch selectivity of the different materials that form the second and third conductive layers of the first gate stack with regard to an HF etching solution, for example. This enables removal of the portions of the second and third layers in the region of the second gate stack during a fabrication process. For example, the first, second and third conductive layers of the first gate stack of the PMOS device are formed of TaN, AlN and HfN, respectively.
  • In other exemplary embodiments, the gate insulating layers of the first and second gate stacks is formed of a dielectric material having a dielectric constant in a range of about 8 and greater. An interfacial layer may be interposed between the gate insulating layers and the semiconductor substrate to prevent reaction between the high-k dielectric material and the silicon substrate. The gate insulating layers of the first and second gate stacks may be formed of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, yttrium oxide, or aluminum oxide.
  • These and other exemplary embodiments, aspects, objects, features and advantages of the present invention will become apparent from the following detailed description of exemplary embodiments, which is to be read in connection with the accompanying drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1A is a cross-sectional schematic view of a conventional gate stack structure of a MOSFET transistor.
  • FIG. 1B is a cross-sectional schematic view of another conventional gate stack structure of a MOSFET transistor.
  • FIG. 2 is a cross-sectional schematic view of a CMOS transistor pair having dual work function metal gate structures in accordance with an exemplary embodiment of the invention.
  • FIGS. 3A-3E are cross-sectional schematic views of the CMOS transistor pair of FIG. 2 at various stages of a CMOS fabrication process according to an exemplary embodiment of the invention.
  • FIGS. 4A and 4B are exemplary illustration of experimental data of threshold voltage as a function of thickness of a gate metal layer for NMOS and PMOS devices.
  • DETAILED DESCRIPTION OF EXEMPLARY EMBODIMENTS
  • Exemplary embodiments of the invention will now be described more fully with reference to the accompanying drawings in which it is to be understood that the thickness and dimensions of the layers and regions are exaggerated for clarity. It is to be further understood that when a layer is described as being “on” or “over” another layer or substrate, such layer may be directly on the other layer or substrate, or intervening layers may also be present. Moreover, similar reference numerals used throughout the drawings denote elements having the same or similar functions.
  • FIG. 2 is a cross-sectional schematic view of a CMOS transistor pair having dual work function metal gate stack structures according to an exemplary embodiment of the invention. In particular, FIG. 2 illustrates a semiconductor device (100) comprising a semiconductor substrate (101) having an NMOS transistor region (101 a) and a PMOS transistor region (101 b). The NMOS transistor region (101 a) includes an NMOS transistor comprising n-doped drain/source diffusion regions (170) formed in a p-doped device well, and a gate stack structure (140) comprising a gate dielectric layer (103 a), and a gate electrode (141). The gate electrode (141) comprises a first conductive layer (111 a) formed on the gate dielectric layer (103 a) and a second conductive layer (120 a) formed on the first conductive layer (111 a). An optional interfacial layer (102 a) is interposed between the gate dielectric layer (103 a) and the substrate.
  • The PMOS transistor region (101 b) includes a PMOS transistor comprising p-doped drain/source diffusion regions (171) formed in an n-doped device well, and a gate stack structure (150) comprising a gate dielectric layer (103 b) and a gate electrode (151). The gate electrode (151) comprises a first conductive layer (111 b), a second conductive layer (113 b), a third conductive layer (115 b) and a fourth conductive layer (120 b) formed cover the gate dielectric layer (103 b). The gate structures (140 and (150) of respective NMOS and PMOS transistors have respective insulating spacers (160 a, 160 b) formed on the sidewall surfaces and insulating caps (130 a, 130 b) formed on the top surfaces of respective gate stacks (140, 150).
  • In one exemplary embodiment of the CMOS transistor of FIG. 2, the NMOS gate structure (140) comprises a metal-inserted gate layer comprising the first conductive layer (111 a) (single metal layer) interposed between the gate dielectric layer (103 a) and a polysilicon layer (120 a). An optional interfacial layer (102 b) is interposed between the gate dielectric layer (103 b) and the substrate. The PMOS gate structure (150) comprises a metal-inserted gate layer comprising a stack of three conductive metal layers (111 b/113 b/115 b), interposed between the gate dielectric layer (103 b) and a polysilicon layer (120 b). The metal layer (111 a) is formed of a first metallic material and thickness so that the NMOS gate has a work function similar to the work function of the p-doped silicon and to control the threshold voltage of the NMOS transistor. The metal-inserted layer of the PMOS gate stack (150) is formed of three metal layers (111 b/113 b/115 b) with materials and thickness selected to provide an effective work function of the PMOS is the same or similar to the work function of the n-doped silicon and control the threshold voltage of the PMOS device.
  • Various dielectric/metal material systems have been considered for constructing the gate stacks (140) and (150) to achieve well controlled dual gate work functions. For example, the effect of TaN as an inserted metal on the threshold voltage characteristics of CMOS transistors has been considered. In general, the threshold voltage of an NMOS transistor significantly increases as a function of increase in thickness of TaN gate layer, whereas the threshold voltage of a PMOS transistor slightly decreases and levels off as the thickness of the TaN gate layer increases. For instance, the effect of the threshold voltages of the NMOS and PMOS transistors with TaN as an inserted metal and HfO2 as a gate dielectric layer is illustrated in FIGS. 4A and 4B, respectively. As illustrated, it can be considered that threshold voltages of about 0.55 and −0.6 for respective NMOS and PMOS transistors can generally be achieved using a thin layer of TaN (10 angstroms) for the NMOS device and a thick TaN (40 angstroms) for the PMOS device.
  • It has been further determined that a material such as AlN a metal gate layer can further decrease the threshold voltage of a PMOS transistor. For instance, for a PMOS transistors with TaN as an inserted metal (of thickness of 40 angstroms) and HfO2 as a gate dielectric layer as illustrated in FIG. 4B, a further reduction in threshold voltage of the PMOS device can be achieved by interposing a thin layer (e.g., 10 angstroms) of ALN (or a material having similar characteristic) between two layers of TaN of thickness of 20 angstroms (or metal layers having similar characteristics of TaN).
  • In one exemplary embodiment, the first conductive layer (111) is formed to provide a work function from about 4.0 to about 4.4 eV for the NMOS gate electrode. The first conductive layer (111) can be formed of metals such as W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals. In particular, the first conductive layer (111 a) can be formed of a metallic nitride material such as TiN or TaN having a suitable work function to set the threshold voltage of the NMOS device.
  • Furthermore, in one exemplary embodiment of the invention, the stack of conductive layers (111 b/113 b/115 b) are formed of conductive materials and thicknesses that are selected to determine an effective work function of the PMOS gate electrode from about 4.7 to about 5.1 eV for the PMOS gate electrode. In particular, given that the first conductive layer (111 b) material and thickness is selected to determine the work function of the NMOS gate electrode (the first conductive layer (111 a) of gate stack (140) has a suitable work function similar to that of n-type silicon), the second conductive layer (113 b) is provided to modulate the work function of the PMOS gate (150) using a metal having a suitable work function so as to effectively increase the work function of the PMOS gate electrode.
  • The second conductive layer (113 b) can be formed of a metal such as Al, La Y, or an oxide or nitride of such metals. The third conductive layer (115 b) is formed of a conductive material and thicknesses that provides further reduction of the threshold voltage of the PMOS transistor. The third conductive layer (115 b) may be formed of a material that is the same as or similar to the material of the first conductive layer (111 b). For example, the third conductive layer may be formed of W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals.
  • In one exemplary embodiment of the invention, dual work function control can be obtained for CMOS transistor where the NMOS gate stack (140) is formed of a high-k dielectric layer/thin TaN metal layer/poly-Si gate electrode and the PMOS gate stack (150) is formed of high-k dielectric layer/thin TaN metal layer/thin AlN layer/thin HfN layer/poly-Si gate electrode. In this exemplary embodiment, the first, second and third conductive layers (111 b/113 b/115 b) of PMOS gate are formed of different conductive materials TaN (or TiN), AlN (or AlO) and HfN (or TaN), respectively. The TaN and HfN layers have an effective thickness providing a relatively stable threshold voltage for the PMOS device, and the intervening AlN layer provides work function modulation so as to further controllably reduce the threshold voltage of the PMOS device.
  • In other exemplary embodiments of the invention, a CMOS transistor can be constructed having an NMOS gate structure with a metal-inserted gate layer comprising a stack of three conductive metal layers, interposed between a gate dielectric layer and a polysilicon layer. The metal-inserted layer of the NMOS gate stack can be formed with three metal layers with materials and thickness selected to provide an effective work function of the NMOS which is the same or similar to the work function of the p-doped silicon and control the threshold voltage of the PMOS device. Moreover, the PMOS gate structure may comprise a metal-inserted gate layer comprising the first conductive layer (single metal layer) interposed between the gate dielectric layer and the polysilicon layer, where the metal layer is preferably formed of a first metallic material and thickness so that the PMOS gate has a work function similar to the work function of the n-doped silicon and to control the threshold voltage of the PMOS transistor.
  • It is to be understood that the various materials used to form the gate stacks can vary depending on the application and desired work function control and that the above stack frameworks are merely illustrative. Moreover, the materials that are used to form the gate layers may be varied depending on the issues of compatibility (e.g., interactions at the interface of gate layers) and the fabrication processes that are employed.
  • FIGS. 3A˜3E are schematic cross-sectional views illustrating a method of fabricating a dual work function metal gate CMOS semiconductor device according to an exemplary embodiment of the invention. FIG. 3A illustrates initial process steps starting with a bulk semiconductor substrate (101) and forming NMOS and PMOS transistor regions (101 a) and (101 b) comprising respective p-doped and n-doped device wells (i.e., active regions) in a surface of the silicon substrate (101) using known techniques. For instance, an isolation region can be formed to define the NMOS and PMOS active regions (101 a) and (101 b) using shallow trench isolation (STI) methods or local oxidation of silicon (LOCOS) and doping the active regions using ion implantation techniques to form the desired n-doped and p-doped device wells.
  • Thereafter, an optional interfacial layer (112) can be formed over the substrate (101) and a gate dielectric layer (103) is formed on the interfacial layer (102). In one exemplary embodiment, the gate dielectric layer (103) can be silicon oxide formed by a thermal oxidation process. In another embodiment, the gate dielectric layer (103) can be a silicon nitride layer formed by a heat treatment process in a nitrogen atmosphere. When the gate dielectric layer (103) is formed of a silicon oxide or silicon nitride, the interfacial layer (102) is not needed. The gate dielectric layer (103) is preferably formed to have a thickness in a range of about 10 angstroms to about 60 angstroms, when formed of a silicon oxide or silicon nitride.
  • The interfacial layer (102) is preferably formed when the gate dielectric layer (103) is formed of a high-k dielectric material so as to prevent reaction between the gate dielectric material and the silicon substrate (101). The interfacial layer (102) can be formed by a cleaning process including ozone gas and ozonized liquid to form a thin interfacial layer of about less than 1.5 nm in thickness.
  • The gate dielectric layer (103) can be a high-k dielectric material having a relative dielectric constant that is greater than that of silicon oxide. For example, the high-k dielectric layer (103) may be formed of a material having a dielectric constant of 8 or higher such as hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, titanium oxide, GdO, yttrium oxide, or aluminum oxide, a silicate, or any combination thereof. The high-k gate dielectric layer (103) can be formed using well-known deposition techniques such as CVD, PVD or ALD.
  • A post deposition appeal (PDA) process can be performed for densification of the high-k dielectric layer (103) in an environment with N2, NO, N2O, O2 or HNH3 gases and a temperature ranging from about 750° C. to about 1050° C. including N2, NO, N2O, O2 or HNH3 gases. The gate dielectric layer (103) can be formed of a dielectric material having a dielectric constant in a range of about 8 and greater. The thickness of the gate dielectric layer (103) will vary depending on the relative dielectric constant of the high-k dielectric material used to form the gate dielectric layer (103). For example, the thickness of the high-k dielectric layer can be in a range of about 10 angstroms to about 200 angstroms.
  • Next, referring to FIG. 3B, a series of deposition processes are performed to form a first conductive layer (111) on the gate dielectric layer (103), a second conductive layer (113) on the first conductive layer (111) and to form a third conductive layer (115) on the second conductive layer (113). The conductive layers (111), (113 and (115) are formed of metallic materials and thicknesses that are selected to define dual work functions for the NMOS and PMOS gate electrodes, while enabling formation of the gate stacks using well-controlled processing steps so as to eliminate or significantly mitigate damage to the gate stack layers during fabrication.
  • In one exemplary embodiment of the invention, the first conductive layer (111) is formed of a conductive material and thickness that is selected to determine a work function of the NMOS gate electrode (which determines the threshold voltage of the NMOS transistor). In one exemplary embodiment, the first conductive layer (111) is formed to provide a work function from about 4.0 to about 4.4 eV for the NMOS gate electrode. The first conductive layer (111) can be formed of metals such as W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals. In particular, the first conductive layer (111) can be formed of a metallic nitride material such as TiN or TaN, which are preferable to provide etch selectively with respect to the second and third conductive layers (113) and (115) for a subsequent etching process as described below. The first conductive layer (111) is preferably formed having a thickness in a range of about 5 angstroms to about 60 angstroms.
  • Furthermore, in one exemplary embodiment of the invention, the stack of conductive layers (111/113/115) are formed of conductive materials and thicknesses that are selected to determine an effective work function of the PMOS gate electrode (which determines the threshold voltage of the PMOS transistor). In one exemplary embodiment, the stack of conductive layers (111/113/115) is formed to provide an effective work function from about 4.7 to about 5.1 eV for the PMOS gate electrode. In particular, given that the first conductive layer (111) is selected to determine the work function of the NMOS gate electrode (the first conductive layer (111) has a suitable work function similar to that of n-type silicon), the second conductive layer (113) is provided to modulate the work function of the PMOS gate using a metal having a suitable work function so as to effectively increase the work function of the PMOS gate electrode to provide a work function similar to that of p-type silicon. As noted above, the second conductive layer (113) can be formed of a silicon oxide, silicon nitride or a metal such as Al, La Y, or an oxide or nitride of such metals. The second conductive layer (113) is preferably formed having a thickness in a range of about 1 angstroms to about 30 angstroms, depending on the material that is used.
  • Furthermore, in one exemplary embodiment of the invention, the third conductive layer (115) is formed of a conductive material and thicknesses that provides further reduction of the threshold voltage of the PMOS transistor. The third conductive layer (115) may be formed of a material that is the same as or similar to the material of the first conductive layer (111). For example, the third conductive layer may be formed of W, MO, Ti, Ta, Al, Hf, or Zr, or nitrides of such metals, or Al or Si doped nitride of such metals.
  • Referring to FIG. 3C, a photo-resist pattern (117) is formed on the third conductive layer (115) to expose the NMOS region (101 a) and cover the PMOS region (101 b). The third and second conductive layers (115) and (113) are then sequentially etched and removed from the NMOS region (101 a). The etch process may be performed using etching techniques that prevent damage to the metal and gate dielectric layers. For instance, in one exemplary embodiment of the invention, the material of the first conductive layer (111) is selected to have an etch selectively that is greater than that of the materials forming the second and third conductive layers (113) and (115).
  • By way of specific example, the etch process may be performed using a wet etch process using an HF solution, where the etch selectively of the first conductive layer (111) for the HF solution is greater than that of the second and third conductive layers (113 and 115). In this regard, the second and third conductive layers (13) and (115) can be easily removed using the HF wet etch using the first conductive layer (111) as an etch stop while avoiding etching damage to the first conductive layer (111), and avoiding damage to the NMOS gate dielectric layer (103 a) (as it is not exposed during the etch step).
  • For instance, a gate layer formed of TaN or TiN can not be dissolved in an 200:1 HF solution, but gate layers formed of materials such as HfN and AlN are easily dissolvable in such HF solution. In this regard, assuming that the first conductive layer (111) is formed of TaN, the second conductive layer (113) is formed of AlN, and the third conductive layer (115) is formed of HfN, the portion of the second and third conductive layers of AlN and HfN in the NMOS region (101 a) can be readily etched away (high etch rate) to expose the first conductive layer of TaN (111), without etching or otherwise damaging the first conductive layer (111).
  • Next, referring to FIG. 3D, the photoresist pattern (117) is removed using known techniques. For instance, the photoresist pattern (117) can be removed using an ashing process in an O2 ambient, or in an H2, N2, NH3 or He ambient. In a non-O2 ambient, a plasma may be generated and fluorinated gases such as CF4 may be added to increase the removal rate of the photoresist pattern (117). A non-O2 ashing process is advantageous to avoid degradation or damage to the exposed portions of the gate dielectric layer (103).
  • Next, another layer of conductive material (120) is formed over the substrate (101). For example, the layer of conductive material (120) may be polysilicon, a metal oxide, a metal nitride, a silicide or any suitable metal. The conducive material (120) is used to form the third conductive layer (120 a) of the NMOS gate stack (140) and the fourth conductive layer (120 b) of the PMOS gate stack (150) (FIG. 2). The layer of conductive material (120) may be deposited using known techniques and subsequently planarized using known techniques, depending on the material used.
  • Next, a hard mask layer (130) is deposited and patterned to form a mask pattern (130 a, 130 b) using known techniques, which define the gate stack areas in the NMOS and PMOS regions (101 a, 101 b), respectively. For instance, the hard mask layer (130) may be formed of silicon nitride or other suitable insulating materials using CVD (chemical vapor deposition) or ALD (atomic layer deposition), for example, and subsequently patterned using known photolithographic methods. Thereafter, an anisotropic etch process is performed using the mask pattern (130 a, 130 b) as an etch mask to etch down to the surface of the substrate (101) and form the gate stack structures (140) and (150), such as depicted in FIG. 3D. Thereafter, well-known, process steps can be used to form side wall spacers (160 a, 160 b) for the gate stacks (140) and (150) and drain-source diffusion regions (170, 171) for the NMOS and PMOS transistors.
  • Although exemplary embodiments have been described herein with reference to the accompanying drawings, it is to be understood that the invention is not limited to the exemplary embodiments described herein, and that various other changes and modifications may be readily envisioned by one of ordinary skill in the art without departing form the scope or spirit of the invention. All such changes and modifications are intended to be included within the scope of the invention as defined by the appended claims.

Claims (40)

1. A semiconductor device, comprising:
a semiconductor substrate having a dual-gate CMOS device formed on the semiconductor substrate, the dual-gate CMOS device comprising a PMOS device and an NMOS device,
wherein the PMOS device has a first gate stack comprising:
a gate insulating layer formed on the semiconductor substrate;
a first conductive layer formed on the gate insulating layer;
a second conductive layer formed on the first conductive layer; and
a third conductive layer formed on the second conductive layer,
wherein the NMOS device has a second gate stack comprising:
a gate insulating layer formed on the semiconductor substrate;
a first conductive layer formed on the gate insulating layer; and
a second conductive layer formed on the first conductive layer, and
wherein the second conductive layers of the first and second gate stacks are formed from different conductive materials.
2. The semiconductor device of claim 1, wherein the first conductive layers of the first and second gate stacks are formed of a same conductive material and have a substantially same thickness.
3. The semiconductor device of claim 2, wherein the first conductive layers of the first and second gate stacks are formed of a metallic nitride.
4. The semiconductor device of claim 3, wherein the first conductive layers of the first and second gate stack are formed of TaN or TiN.
5. The semiconductor device of claim 2, wherein the thickness and the conductive material of the first conductive layers of the first and second gate stacks is selected to modulate a work function of the NMOS device.
6. The semiconductor device of claim 2, wherein a thickness and a conductive material of the second conductive layer of the first gate stack is selected to modulate a function of the PMOS device.
7. The semiconductor device of claim 1, wherein the first and second conductive layers of the first gate stack are formed of different metallic nitride materials.
8. The semiconductor device of claim 7, wherein the metallic nitride materials include TiN, TaN, or AlN.
9. The semiconductor device of claim 1, wherein the first, second and third conductive layers of the first gate stack are formed of different conductive materials.
10. The semiconductor device of claim 9, wherein the first conductive layer is formed of a material that has an etch selectivity that is larger than an etch selectivity of the different materials that form the second and third conductive layers of the first gate stack with regard to an HF etching solution.
11. The semiconductor device of claim 10, wherein the first, second and third conductive layers of the first gate stack of the PMOS device are formed of TaN, AlN and HfN, respectively.
12. The semiconductor device of claim 10, wherein the first, second and third conductive layers of the first gate stack of the PMOS device are formed of HfN, AlN and TaN, respectively.
13. The semiconductor device of claim 1, wherein the gate insulating layers of the first and second gate stacks is formed of a dielectric material having a dielectric constant in a range of about 8 and greater.
14. The semiconductor of claim 13, further comprising an interfacial layer interposed between the gate insulating layers and the semiconductor substrate.
15. The semiconductor device of claim 13, wherein the gate insulating layers of the first and second gate stacks are formed of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, yttrium oxide, or aluminum oxide.
16. The semiconductor device of claim 1, wherein the first gate stack of the PMOS device further comprises a fourth conductive layer formed on the third conductive layer.
17. The semiconductor device of claim 16, wherein the second conductive layer of the second gate stack and the fourth conductive layer of the first gate stack are formed of a same conductive material.
18. The semiconductor device of claim 16, wherein the second conductive layer of the second gate stack and the fourth conductive layer of the first gate stack are formed of a polysilicon material.
19. The semiconductor device of claim 1, wherein the thickness of first conductive layers of the first and second gate stacks is in a range of about 5 angstroms to about 60 angstroms.
20. A semiconductor device, comprising:
a semiconductor substrate having a dual-gate CMOS device formed on a front-side of the semiconductor substrate, the dual-gate CMOS device comprising a PMOS device having a first MIPS gate stack, and an NMOS device having a second MIPS gate stack,
wherein the first and second MIPS gate stacks each comprise:
a gate insulating layer formed on the semiconductor substrate;
a polysilicon electrode; and
a metal inserted layer interposed between the gate insulating layer and the polysilicon electrode,
wherein the metal inserted layer of the first MIPS gate stack comprises a stack of at least first, second and third metallic layers; and
wherein the metal inserted layer of the second MIPS gate stack comprises at least a first metallic layer.
21. The semiconductor device of claim 20, wherein the first metallic layers of the first and second MIPS gate stacks are formed of a same metallic material and have a same thickness in a range of about 5 angstroms to about 60 angstroms.
22. The semiconductor device of claim 21, wherein the first metallic layers of the first and second MIPS gate stacks are formed of a metallic nitride
23. The semiconductor device of claim 22, wherein the thickness and the metallic nitride material of the first conductive layers of the first and second MIPS gate stacks is selected to modulate a work function of the NMOS device.
24. The semiconductor device of claim 21, wherein a thickness and a conductive material of the second metallic layer of the first MIPS gate stack is selected to modulate a work function of the PMOS device.
25. The semiconductor device of claim 20, wherein the first, second and third metallic layers of the first MIPS gate stack are formed of different conductive materials.
26. The semiconductor device of claim 25, wherein the first, second and third metallic layers of the first MIPS gate stack are formed of TaN, AlN and HfN, respectively.
27. The semiconductor device of claim 25, wherein the first, second and third metallic layers of the first MIPS gate stack are formed of HfN, AlN and TaN, respectively.
28. The semiconductor device of claim 20, wherein the gate ins insulating layers of the first and second MIPS stacks are formed of a dielectric material having a dielectric constant in a range of about 8 and greater.
29. The semiconductor of claim 20, further comprising an interfacial layer interposed between the gate insulating layers and the semiconductor substrate.
30. A method for fabricating a semiconductor device having a dual-gate CMOS device, the method comprising:
defining an active region of a CMOS device on a semiconductor substrate, the active region comprising an NMOS device region and a PMOS device region;
forming a gate insulating layer on the semiconductor substrate;
forming a first conductive layer on the gate insulating layer;
forming a second conductive layer on the first conductive layer;
forming third conductive layer on the second conductive layer;
performing an etch process to etch the third and second conductive layers down to the first conductive layer in the NMOS device region; and
forming a first gate structure in the PMOS region and second gate structure in the NMOS region, wherein the first gate structure is stacked structure formed from the gate insulating layer and first and second conductive layers, and wherein the second gate structure is a stacked structure formed from the gate insulating layer and the first conductive layer.
31. The method of claim 30, wherein the third and second conductive layers are etched using an etch process wherein an etch selectivity of the first conductive layer is larger than an etch selectivity of the second and third conductive layers such that the first conductive layer serves as an etch stop.
32. The method of claim 31, wherein the etch process is a wet etch process using an HF solution.
33. The method of claim 32, wherein the first conductive layer is formed of TaN, wherein the second conductive layer is formed of AlN and wherein the third conductive layer is formed of HfN.
34. The method of claim 30, wherein forming the first and second gate structures comprises:
forming a fourth conductive layer over the NMOS and PMOS regions;
forming an etch mask on the fourth conductive layer, wherein the etch mask defines a gate pattern for the first and second gate structures;
etching the fourth conductive layer downs to the substrate to form the first and second gate structures.
35. The method of claim 34, wherein the fourth conductive layer comprises polysilicon.
36. The method of claim 34, wherein the fourth conductive layer comprises a metallic material.
37. The method of claim 36, wherein the fourth conductive layer comprises a metal silicide or nitride material.
38. The method of claim 30, wherein forming the gate insulating layer comprises:
forming an interfacial layer on the semiconductor substrate; and
forming a layer of gate dielectric material over the interfacial layer.
39. The method of claim 38, wherein the gate dielectric material has a dielectric constant in a range of about 8 and greater.
40. The method of claim 39, wherein the gate dielectric material gate insulating layers of the first and second gate stacks are formed of hafnium oxide, hafnium silicon oxide, lanthanum oxide, zirconium oxide, zirconium silicon oxide, tantalum oxide, yttrium oxide, or aluminum oxide.
US11/550,602 2006-01-31 2006-10-18 Cmos semiconductor devices having dual work function metal gate stacks Abandoned US20070178634A1 (en)

Priority Applications (4)

Application Number Priority Date Filing Date Title
JP2007016502A JP2007208260A (en) 2006-01-31 2007-01-26 Cmos semiconductor device equipped with double work function metallic gate stack
DE102007005328A DE102007005328A1 (en) 2006-01-31 2007-01-29 Complementary metal oxide semiconductor device e.g. complementary metal oxide semiconductor transistor, has gate stacks whose second conductive layers are formed of different conductive materials
TW096103481A TW200731540A (en) 2006-01-31 2007-01-31 CMOS semiconductor devices having dual work function metal gate stacks
US11/966,640 US7829953B2 (en) 2006-01-31 2007-12-28 Semiconductor device and method of fabricating the same

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
KR20060009367 2006-01-31
KR2006-0009367 2006-01-31
KR1020070000279A KR100827446B1 (en) 2006-01-31 2007-01-02 Semiconductor device and Method for fabricating the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US11/966,640 Continuation-In-Part US7829953B2 (en) 2006-01-31 2007-12-28 Semiconductor device and method of fabricating the same

Publications (1)

Publication Number Publication Date
US20070178634A1 true US20070178634A1 (en) 2007-08-02

Family

ID=39541606

Family Applications (2)

Application Number Title Priority Date Filing Date
US11/550,602 Abandoned US20070178634A1 (en) 2006-01-31 2006-10-18 Cmos semiconductor devices having dual work function metal gate stacks
US11/966,640 Active 2027-05-24 US7829953B2 (en) 2006-01-31 2007-12-28 Semiconductor device and method of fabricating the same

Family Applications After (1)

Application Number Title Priority Date Filing Date
US11/966,640 Active 2027-05-24 US7829953B2 (en) 2006-01-31 2007-12-28 Semiconductor device and method of fabricating the same

Country Status (5)

Country Link
US (2) US20070178634A1 (en)
JP (1) JP2007208260A (en)
KR (1) KR100827446B1 (en)
CN (1) CN101013700A (en)
DE (1) DE102007005328A1 (en)

Cited By (43)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080261360A1 (en) * 2007-01-24 2008-10-23 Hyung-Suk Jung Methods of manufacturing a semiconductor device
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US20090108365A1 (en) * 2007-10-29 2009-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. High-k dielectric metal gate device structure and method for forming the same
US20090146215A1 (en) * 2007-12-07 2009-06-11 Hisashi Ogawa Semiconductor device and method for fabricating the same
US20090152637A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Pfet with tailored dielectric and related methods and integrated circuit
US20090174010A1 (en) * 2008-01-03 2009-07-09 International Business Machines Corporation Sram device structure including same band gap transistors having gate stacks with high-k dielectrics and same work function
US20090218634A1 (en) * 2008-02-28 2009-09-03 Renesas Technology Corp. Semiconductor device and manufacturing method of the same
US20090261424A1 (en) * 2008-04-22 2009-10-22 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for fabricating a dual workfunction semiconductor device and the device made thereof
US20100065918A1 (en) * 2008-09-18 2010-03-18 Daisuke Ikeno Semiconductor device and method for manufacturing the same
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US20100148275A1 (en) * 2008-08-04 2010-06-17 Panasonic Corporation Semiconductor device and method for fabricating the same
WO2010078340A2 (en) * 2009-01-05 2010-07-08 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US20100187612A1 (en) * 2009-01-27 2010-07-29 Daisuke Ikeno Semiconductor device and method of manufacturing the same
US20100207176A1 (en) * 2009-02-18 2010-08-19 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same
US20100230756A1 (en) * 2007-04-18 2010-09-16 Freescale Semiconductor Inc. Semiconductor device with selectively modulated gate work function
US20100252888A1 (en) * 2009-03-27 2010-10-07 Nec Electronics Corporation Semiconductor device
US20110108924A1 (en) * 2008-11-12 2011-05-12 Panasonic Corporation Semiconductor device and method of manufacturing the device
US20110193181A1 (en) * 2003-11-12 2011-08-11 Samsung Electronics Co., Ltd. Semiconductor device having different metal gate structures
US20110291198A1 (en) * 2010-05-27 2011-12-01 International Business Machines Corporation Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices
US20120104509A1 (en) * 2010-10-29 2012-05-03 Sony Corporation Semiconductor device and manufacturing method of semiconductor device
US20120153406A1 (en) * 2010-12-15 2012-06-21 Park Woo-Young Semiconductor device and method for fabricating the same
US8268712B2 (en) 2010-05-27 2012-09-18 United Microelectronics Corporation Method of forming metal gate structure and method of forming metal gate transistor
US20120326238A1 (en) * 2011-06-24 2012-12-27 Chin-Cheng Chien Method for fabricating semiconductor device
CN102856256A (en) * 2011-06-29 2013-01-02 联华电子股份有限公司 Semiconductor element and manufacture method thereof
US20130052814A1 (en) * 2011-08-23 2013-02-28 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US20140015051A1 (en) * 2011-12-05 2014-01-16 International Business Machines Corporation Method of replacing silicon with metal in integrated circuit chip fabrication
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US8741757B2 (en) 2012-02-28 2014-06-03 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US20140151819A1 (en) * 2012-12-05 2014-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Having SiGe Substrate, Interfacial Layer and High K Dielectric Layer
US8766379B2 (en) * 2011-09-22 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer scavenging metal gate stack for ultra-thin interfacial dielectric layer
US8790973B2 (en) * 2012-04-12 2014-07-29 Globalfoundries Inc. Workfunction metal stacks for a final metal gate
US20140306295A1 (en) * 2013-04-10 2014-10-16 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US9184096B2 (en) 2013-03-13 2015-11-10 Macronix International Co., Ltd. Semiconductor structure and manufacturing method for the same
US9287181B2 (en) 2014-03-19 2016-03-15 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9666574B1 (en) 2015-11-30 2017-05-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
US10256099B1 (en) * 2018-03-09 2019-04-09 Sandisk Technologies Llc Transistors having semiconductor-metal composite gate electrodes containing different thickness interfacial dielectrics and methods of making thereof
US10256161B2 (en) 2016-02-17 2019-04-09 International Business Machines Corporation Dual work function CMOS devices
US10325992B2 (en) 2015-03-02 2019-06-18 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
CN109979822A (en) * 2017-12-28 2019-07-05 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
US11342445B2 (en) * 2017-11-30 2022-05-24 Intel Corporation Differentiated voltage threshold metal gate structures for advanced integrated circuit structure fabrication
US20220271041A1 (en) * 2021-02-22 2022-08-25 Samsung Electronics Co., Ltd. Semiconductor devices

Families Citing this family (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5139023B2 (en) * 2007-10-16 2013-02-06 株式会社東芝 Manufacturing method of semiconductor device
JP5288789B2 (en) * 2007-12-28 2013-09-11 株式会社東芝 Semiconductor device and manufacturing method thereof
JP2010027716A (en) * 2008-07-16 2010-02-04 Tokyo Electron Ltd Semiconductor device and production process of semiconductor device
JP2010034468A (en) * 2008-07-31 2010-02-12 Renesas Technology Corp Semiconductor device and method of manufacturing the same
US8105931B2 (en) * 2008-08-27 2012-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating dual high-k metal gates for MOS devices
KR101589440B1 (en) 2009-02-09 2016-01-29 삼성전자주식회사 Method of fabricating semiconductor device having dual gate
JP2010245433A (en) * 2009-04-09 2010-10-28 Panasonic Corp Semiconductor device and method of manufacturing the same
DE102009023376B4 (en) * 2009-05-29 2012-02-23 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Adjusting the work function in high-k metal gate electrode structures by selectively removing a barrier layer
US7989902B2 (en) * 2009-06-18 2011-08-02 International Business Machines Corporation Scavenging metal stack for a high-k gate dielectric
CN101930979B (en) * 2009-06-26 2014-07-02 中国科学院微电子研究所 CMOSFETs structure for controlling threshold voltage of device and manufacturing method thereof
US20100327364A1 (en) * 2009-06-29 2010-12-30 Toshiba America Electronic Components, Inc. Semiconductor device with metal gate
JP2011029483A (en) 2009-07-28 2011-02-10 Renesas Electronics Corp Semiconductor device and method for manufacturing the same
US20110095379A1 (en) * 2009-10-28 2011-04-28 International Business Machines Corporation Scaling of metal gate with aluminum containing metal layer for threshold voltage shift
US8367508B2 (en) * 2010-04-09 2013-02-05 International Business Machines Corporation Self-aligned contacts for field effect transistor devices
JP2012231123A (en) * 2011-04-15 2012-11-22 Hitachi Kokusai Electric Inc Semiconductor device, method of manufacturing semiconductor device, substrate processing system, and program
CN103681802B (en) * 2012-09-18 2016-09-14 中国科学院微电子研究所 A kind of semiconductor structure and preparation method thereof
CN103681801A (en) * 2012-09-18 2014-03-26 中国科学院微电子研究所 Semiconductor structure and manufacturing method thereof
US9679984B2 (en) 2012-11-07 2017-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure with multi-layer composition
US9219155B2 (en) 2013-12-16 2015-12-22 Intel Corporation Multi-threshold voltage devices and associated techniques and configurations
US9859392B2 (en) * 2015-09-21 2018-01-02 Samsung Electronics Co., Ltd. Integrated circuit device and method of manufacturing the same
US10600900B2 (en) * 2017-10-16 2020-03-24 Kabushiki Kaisha Toshiba Semiconductor device and electric apparatus
US10580703B2 (en) 2018-05-02 2020-03-03 International Business Machines Corporation Multivalent oxide cap for multiple work function gate stacks on high mobility channel materials

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040023478A1 (en) * 2002-07-31 2004-02-05 Samavedam Srikanth B. Capped dual metal gate transistors for CMOS process and method for making the same
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6790719B1 (en) * 2003-04-09 2004-09-14 Freescale Semiconductor, Inc. Process for forming dual metal gate structures
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US20050250258A1 (en) * 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100399356B1 (en) 2001-04-11 2003-09-26 삼성전자주식회사 Method of forming cmos type semiconductor device having dual gate
KR20030058664A (en) * 2001-12-31 2003-07-07 주식회사 하이닉스반도체 Method for forming CMOS of semiconductor device
KR100487525B1 (en) 2002-04-25 2005-05-03 삼성전자주식회사 Semiconductor device using silicon-germanium gate and method for fabricating the same
KR100899565B1 (en) * 2002-10-21 2009-05-27 주식회사 하이닉스반도체 Method of forming dual metal gate for semiconductor device
KR100502426B1 (en) * 2003-09-18 2005-07-20 삼성전자주식회사 Semiconductor devices having dual gates and methods of forming the same
JPWO2006006438A1 (en) * 2004-07-12 2008-04-24 日本電気株式会社 Semiconductor device and manufacturing method thereof
KR100719340B1 (en) 2005-01-14 2007-05-17 삼성전자주식회사 Semiconductor devices having a dual gate electrode and methods of forming the same
KR100650698B1 (en) * 2005-08-02 2006-11-27 삼성전자주식회사 Method of manufacturing semiconductor device with dual gates
US7682891B2 (en) * 2006-12-28 2010-03-23 Intel Corporation Tunable gate electrode work function material for transistor applications

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6696345B2 (en) * 2002-01-07 2004-02-24 Intel Corporation Metal-gate electrode for CMOS transistor applications
US6794234B2 (en) * 2002-01-30 2004-09-21 The Regents Of The University Of California Dual work function CMOS gate technology based on metal interdiffusion
US20040023478A1 (en) * 2002-07-31 2004-02-05 Samavedam Srikanth B. Capped dual metal gate transistors for CMOS process and method for making the same
US7019351B2 (en) * 2003-03-12 2006-03-28 Micron Technology, Inc. Transistor devices, and methods of forming transistor devices and circuit devices
US6790719B1 (en) * 2003-04-09 2004-09-14 Freescale Semiconductor, Inc. Process for forming dual metal gate structures
US20050250258A1 (en) * 2004-05-04 2005-11-10 Metz Matthew V Method for making a semiconductor device having a high-k gate dielectric layer and a metal gate electrode

Cited By (93)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110193181A1 (en) * 2003-11-12 2011-08-11 Samsung Electronics Co., Ltd. Semiconductor device having different metal gate structures
US20080261360A1 (en) * 2007-01-24 2008-10-23 Hyung-Suk Jung Methods of manufacturing a semiconductor device
US7767512B2 (en) * 2007-01-24 2010-08-03 Samsung Electronics Co., Ltd. Methods of manufacturing a semiconductor device including CMOS transistor having different PMOS and NMOS gate electrode structures
US7911002B2 (en) * 2007-04-18 2011-03-22 Freescale Semiconductor, Inc. Semiconductor device with selectively modulated gate work function
US20100230756A1 (en) * 2007-04-18 2010-09-16 Freescale Semiconductor Inc. Semiconductor device with selectively modulated gate work function
US20090008725A1 (en) * 2007-07-03 2009-01-08 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US20090294876A1 (en) * 2007-07-03 2009-12-03 International Business Machines Corporation Method for deposition of an ultra-thin electropositive metal-containing cap layer
US7625791B2 (en) * 2007-10-29 2009-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. High-k dielectric metal gate device structure and method for forming the same
US7829949B2 (en) 2007-10-29 2010-11-09 Taiwan Semconductor Manufacturing Co., Ltd High-K dielectric metal gate device structure
US20100044800A1 (en) * 2007-10-29 2010-02-25 Taiwan Semiconductor Manufacturing Co., Ltd. High-K dielectric metal gate device structure
US20090108365A1 (en) * 2007-10-29 2009-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. High-k dielectric metal gate device structure and method for forming the same
US7915687B2 (en) 2007-12-07 2011-03-29 Panasonic Corporation Semiconductor device and method for fabricating the same
US20090146215A1 (en) * 2007-12-07 2009-06-11 Hisashi Ogawa Semiconductor device and method for fabricating the same
US8021938B2 (en) 2007-12-07 2011-09-20 Panasonic Corporation Semiconductor device and method for fabricating the same
US20110136331A1 (en) * 2007-12-07 2011-06-09 Panasonic Corporation Semiconductor device and method for fabricating the same
US20090152637A1 (en) * 2007-12-13 2009-06-18 International Business Machines Corporation Pfet with tailored dielectric and related methods and integrated circuit
US8053306B2 (en) 2007-12-13 2011-11-08 International Business Machines Corporation PFET with tailored dielectric and related methods and integrated circuit
US20090174010A1 (en) * 2008-01-03 2009-07-09 International Business Machines Corporation Sram device structure including same band gap transistors having gate stacks with high-k dielectrics and same work function
US7728392B2 (en) 2008-01-03 2010-06-01 International Business Machines Corporation SRAM device structure including same band gap transistors having gate stacks with high-K dielectrics and same work function
US20090218634A1 (en) * 2008-02-28 2009-09-03 Renesas Technology Corp. Semiconductor device and manufacturing method of the same
US8120118B2 (en) 2008-02-28 2012-02-21 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US20110057265A1 (en) * 2008-02-28 2011-03-10 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US7855134B2 (en) * 2008-02-28 2010-12-21 Renesas Electronics Corporation Semiconductor device and manufacturing method of the same
US7989898B2 (en) * 2008-04-22 2011-08-02 Imec Method for fabricating a dual workfunction semiconductor device and the device made thereof
EP2112687A3 (en) * 2008-04-22 2010-01-13 Imec Method for fabricating a dual workfunction semiconductor device and the device made thereof
US20090261424A1 (en) * 2008-04-22 2009-10-22 Interuniversitair Microelektronica Centrum Vzw (Imec) Method for fabricating a dual workfunction semiconductor device and the device made thereof
US20100148275A1 (en) * 2008-08-04 2010-06-17 Panasonic Corporation Semiconductor device and method for fabricating the same
US8471341B2 (en) 2008-08-04 2013-06-25 Panasonic Corporation Semiconductor device and method for fabricating the same
US20100065918A1 (en) * 2008-09-18 2010-03-18 Daisuke Ikeno Semiconductor device and method for manufacturing the same
US20100102393A1 (en) * 2008-10-29 2010-04-29 Chartered Semiconductor Manufacturing, Ltd. Metal gate transistors
US20110108924A1 (en) * 2008-11-12 2011-05-12 Panasonic Corporation Semiconductor device and method of manufacturing the device
US8476714B2 (en) * 2008-11-12 2013-07-02 Panasonic Corporation Semiconductor device
US9142670B2 (en) 2009-01-05 2015-09-22 Micron Technology, Inc. Methods of forming dual gate structures
WO2010078340A3 (en) * 2009-01-05 2010-09-30 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US8207582B2 (en) 2009-01-05 2012-06-26 Micron Technology, Inc. Semiconductor devices including dual gate structures
US20100171178A1 (en) * 2009-01-05 2010-07-08 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
WO2010078340A2 (en) * 2009-01-05 2010-07-08 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of forming such semiconductor devices
US8748273B2 (en) 2009-01-05 2014-06-10 Micron Technology, Inc. Semiconductor devices including dual gate structures and methods of fabrication
US20100187612A1 (en) * 2009-01-27 2010-07-29 Daisuke Ikeno Semiconductor device and method of manufacturing the same
US8198155B2 (en) * 2009-01-27 2012-06-12 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US8026539B2 (en) * 2009-02-18 2011-09-27 Globalfoundries Inc. Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same
US20100207176A1 (en) * 2009-02-18 2010-08-19 Advanced Micro Devices, Inc. Metal oxide semiconductor devices having doped silicon-compromising capping layers and methods for fabricating the same
US20100252888A1 (en) * 2009-03-27 2010-10-07 Nec Electronics Corporation Semiconductor device
US8940599B2 (en) 2010-05-27 2015-01-27 International Business Machines Corporation Scaled equivalent oxide thickness for field effect transistor devices
US8716813B2 (en) * 2010-05-27 2014-05-06 International Business Machines Corporation Scaled equivalent oxide thickness for field effect transistor devices
US8268712B2 (en) 2010-05-27 2012-09-18 United Microelectronics Corporation Method of forming metal gate structure and method of forming metal gate transistor
US8343839B2 (en) * 2010-05-27 2013-01-01 International Business Machines Corporation Scaled equivalent oxide thickness for field effect transistor devices
US20110291198A1 (en) * 2010-05-27 2011-12-01 International Business Machines Corporation Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices
US20120286363A1 (en) * 2010-05-27 2012-11-15 International Business Machines Corporation Scaled Equivalent Oxide Thickness for Field Effect Transistor Devices
US8492259B2 (en) 2010-05-27 2013-07-23 United Microelectronics Corp. Method of forming metal gate structure
CN102456691A (en) * 2010-10-29 2012-05-16 索尼公司 Semiconductor device and manufacturing method of semiconductor device
US10811416B2 (en) 2010-10-29 2020-10-20 Sony Corporation Semiconductor device with fin transistors and manufacturing method of such semiconductor device
US10373955B2 (en) 2010-10-29 2019-08-06 Sony Corporation Semiconductor device with FIN transistors and manufacturing method of such semiconductor device
US9741814B2 (en) 2010-10-29 2017-08-22 Sony Corporation Semiconductor device with fin transistors and manufacturing method of such semiconductor device
US9331077B2 (en) 2010-10-29 2016-05-03 Sony Corporation Semiconductor device and manufacturing method of semiconductor device
US11121133B2 (en) 2010-10-29 2021-09-14 Sony Corporation Semiconductor device with fin transistors and manufacturing method of such semiconductor device
US20120104509A1 (en) * 2010-10-29 2012-05-03 Sony Corporation Semiconductor device and manufacturing method of semiconductor device
US9059312B2 (en) * 2010-10-29 2015-06-16 Sony Corporation Semiconductor device and manufacturing method of semiconductor device
US11824057B2 (en) 2010-10-29 2023-11-21 Sony Corporation Semiconductor device with fin-type field effect transistor
US20120153406A1 (en) * 2010-12-15 2012-06-21 Park Woo-Young Semiconductor device and method for fabricating the same
US20120326238A1 (en) * 2011-06-24 2012-12-27 Chin-Cheng Chien Method for fabricating semiconductor device
US8674452B2 (en) * 2011-06-24 2014-03-18 United Microelectronics Corp. Semiconductor device with lower metal layer thickness in PMOS region
CN102856256A (en) * 2011-06-29 2013-01-02 联华电子股份有限公司 Semiconductor element and manufacture method thereof
US8440520B2 (en) * 2011-08-23 2013-05-14 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US20130052814A1 (en) * 2011-08-23 2013-02-28 Tokyo Electron Limited Diffused cap layers for modifying high-k gate dielectrics and interface layers
US8766379B2 (en) * 2011-09-22 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer scavenging metal gate stack for ultra-thin interfacial dielectric layer
US9257349B2 (en) 2011-09-22 2016-02-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of scavenging impurities in forming a gate stack having an interfacial layer
US20140015051A1 (en) * 2011-12-05 2014-01-16 International Business Machines Corporation Method of replacing silicon with metal in integrated circuit chip fabrication
US8633118B2 (en) 2012-02-01 2014-01-21 Tokyo Electron Limited Method of forming thin metal and semi-metal layers by thermal remote oxygen scavenging
US9881797B2 (en) 2012-02-28 2018-01-30 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US8741757B2 (en) 2012-02-28 2014-06-03 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US9202698B2 (en) 2012-02-28 2015-12-01 International Business Machines Corporation Replacement gate electrode with multi-thickness conductive metallic nitride layers
US8865538B2 (en) 2012-03-30 2014-10-21 Tokyo Electron Limited Method of integrating buried threshold voltage adjustment layers for CMOS processing
US8790973B2 (en) * 2012-04-12 2014-07-29 Globalfoundries Inc. Workfunction metal stacks for a final metal gate
US8865581B2 (en) 2012-10-19 2014-10-21 Tokyo Electron Limited Hybrid gate last integration scheme for multi-layer high-k gate stacks
US20140151819A1 (en) * 2012-12-05 2014-06-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor Device Having SiGe Substrate, Interfacial Layer and High K Dielectric Layer
US8878302B2 (en) * 2012-12-05 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having SiGe substrate, interfacial layer and high K dielectric layer
US9184096B2 (en) 2013-03-13 2015-11-10 Macronix International Co., Ltd. Semiconductor structure and manufacturing method for the same
US20140306295A1 (en) * 2013-04-10 2014-10-16 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9553094B2 (en) 2014-03-19 2017-01-24 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US9287181B2 (en) 2014-03-19 2016-03-15 Samsung Electronics Co., Ltd. Semiconductor device and method for fabricating the same
US10325992B2 (en) 2015-03-02 2019-06-18 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11127828B2 (en) 2015-03-02 2021-09-21 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US11735637B2 (en) 2015-03-02 2023-08-22 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
US9666574B1 (en) 2015-11-30 2017-05-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device structure and manufacturing method thereof
DE102016100100A1 (en) * 2015-11-30 2017-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method of making the same
DE102016100100B4 (en) * 2015-11-30 2021-03-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method of manufacturing the same
US10256161B2 (en) 2016-02-17 2019-04-09 International Business Machines Corporation Dual work function CMOS devices
US11342445B2 (en) * 2017-11-30 2022-05-24 Intel Corporation Differentiated voltage threshold metal gate structures for advanced integrated circuit structure fabrication
CN109979822A (en) * 2017-12-28 2019-07-05 中芯国际集成电路制造(上海)有限公司 Semiconductor devices and forming method thereof
US10256099B1 (en) * 2018-03-09 2019-04-09 Sandisk Technologies Llc Transistors having semiconductor-metal composite gate electrodes containing different thickness interfacial dielectrics and methods of making thereof
US20220271041A1 (en) * 2021-02-22 2022-08-25 Samsung Electronics Co., Ltd. Semiconductor devices
US11917812B2 (en) * 2021-02-22 2024-02-27 Samsung Electronics Co., Ltd. Semiconductor devices

Also Published As

Publication number Publication date
CN101013700A (en) 2007-08-08
JP2007208260A (en) 2007-08-16
DE102007005328A1 (en) 2007-09-06
KR100827446B1 (en) 2008-05-06
KR20070078975A (en) 2007-08-03
US7829953B2 (en) 2010-11-09
US20080150036A1 (en) 2008-06-26

Similar Documents

Publication Publication Date Title
US20070178634A1 (en) Cmos semiconductor devices having dual work function metal gate stacks
US8143676B2 (en) Semiconductor device having a high-dielectric-constant gate insulating film
US7012027B2 (en) Zirconium oxide and hafnium oxide etching using halogen containing chemicals
US9269635B2 (en) CMOS Transistor with dual high-k gate dielectric
US7919820B2 (en) CMOS semiconductor device and method of fabricating the same
US7709901B2 (en) CMOS transistor and method of manufacture thereof
US8143129B2 (en) Integration of non-volatile charge trap memory devices and logic CMOS devices
US20060011949A1 (en) Metal-gate cmos device and fabrication method of making same
US20050156208A1 (en) Device having multiple silicide types and a method for its fabrication
US20130178031A1 (en) Integration of non-volatile charge trap memory devices and logic cmos devices
US7001818B2 (en) MIS semiconductor device and manufacturing method thereof
US7579282B2 (en) Method for removing metal foot during high-k dielectric/metal gate etching
US10217640B2 (en) Methods of fabricating semiconductor devices
EP3229276A1 (en) Integration of non-volatile charge trap memory devices and logic cmos devices
US8030214B2 (en) Method of fabricating gate structures
US7820555B2 (en) Method of patterning multilayer metal gate structures for CMOS devices
JP2006024894A (en) Semiconductor device having high dielectric constant-gate insulating film, and manufacturing method of the same
US8928051B2 (en) Metal oxide semiconductor (MOS) device with locally thickened gate oxide
JP2006108355A (en) Semiconductor device and manufacturing method thereof
KR20120070801A (en) Semiconductor device and method for manufacturing threof
JP2005294799A (en) Semiconductor device and its manufacturing method
JP2004241733A (en) Semiconductor device and its manufacturing method
US20070254425A1 (en) Methods of fabricating a semiconductor device
US20060273410A1 (en) Thermally stable fully silicided Hf silicide metal gate electrode
TWI536560B (en) Metal gate structure and method of forming the same

Legal Events

Date Code Title Description
AS Assignment

Owner name: NIHON PROPOLIS CO., LTD., JAPAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MIDORIKAWA, KIYOSHI;KADOTA, SHIGETOSHI;MATSUSHIGE, KATSUMICHI;REEL/FRAME:017323/0174;SIGNING DATES FROM 20050928 TO 20051001

AS Assignment

Owner name: SAMSUNG ELECTRONICS CO., LTD., KOREA, REPUBLIC OF

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JUNG, HYUNG SUK;LEE, JONG HO;HAN, SUNG KEE;AND OTHERS;REEL/FRAME:018411/0162;SIGNING DATES FROM 20060929 TO 20061002

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION