TWI536560B - Metal gate structure and method of forming the same - Google Patents

Metal gate structure and method of forming the same Download PDF

Info

Publication number
TWI536560B
TWI536560B TW098142307A TW98142307A TWI536560B TW I536560 B TWI536560 B TW I536560B TW 098142307 A TW098142307 A TW 098142307A TW 98142307 A TW98142307 A TW 98142307A TW I536560 B TWI536560 B TW I536560B
Authority
TW
Taiwan
Prior art keywords
work function
layer
function metal
gate structure
metal
Prior art date
Application number
TW098142307A
Other languages
Chinese (zh)
Other versions
TW201121043A (en
Inventor
林進富
何念葶
林俊賢
尤志豪
周正賢
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW098142307A priority Critical patent/TWI536560B/en
Publication of TW201121043A publication Critical patent/TW201121043A/en
Application granted granted Critical
Publication of TWI536560B publication Critical patent/TWI536560B/en

Links

Description

金屬閘極結構及其形成方法Metal gate structure and forming method thereof

本發明係關於一種金屬閘極結構及其製作方法,尤指一種金氧半導體電晶體之金屬閘極結構。The invention relates to a metal gate structure and a manufacturing method thereof, in particular to a metal gate structure of a metal oxide semiconductor transistor.

在半導體產業中,由於多晶矽材料具有抗熱性質,因此在製作傳統金屬氧化物半導體(MOS)電晶體時通常會使用多晶矽材料來作為電晶體的閘極電極,使其源極與汲極區域得以在高溫下一起進行退火。其次,由於多晶矽能夠阻擋以離子佈植所摻雜之原子進入通道區域,因此在閘極圖案化之後能容易地形成自行對準的源極與汲極區域。In the semiconductor industry, since polycrystalline germanium materials have heat resistance properties, polycrystalline germanium materials are often used as gate electrodes for transistors in the fabrication of conventional metal oxide semiconductor (MOS) transistors, so that their source and drain regions are Annealing together at high temperatures. Secondly, since the polysilicon can block the atoms doped by the ion implantation into the channel region, the self-aligned source and drain regions can be easily formed after the gate patterning.

然而,隨著半導體元件的尺寸持續微縮,傳統MOS電晶體的結構開始面臨到新的考驗。首先,與大多數金屬材料相比,多晶矽閘極是以較高電阻值的半導體材料所形成,因此多晶矽閘極所提供的操作速率會比金屬閘極為低。此外,多晶矽閘極容易產生空乏效應(depletion effect)。由於摻雜濃度上的限制,當多晶矽閘極受到偏壓時,缺乏載子,使靠近多晶矽閘極與閘極介電層的介面上就容易產生空乏區。此空乏效應除了會使等效的閘極介電層厚度增加,又同時造成閘極電容值下降,進而導致元件驅動能力衰退等困境。故目前便有新的閘極材料被研製生產,例如利用功函數(work function)金屬來取代傳統的多晶矽閘極。However, as the size of semiconductor components continues to shrink, the structure of conventional MOS transistors is beginning to face new challenges. First, the polysilicon gate is formed of a higher resistance semiconductor material than most metal materials, so the polysilicon gate provides an operating rate that is much lower than that of the metal gate. In addition, polycrystalline germanium gates are prone to depletion effects. Due to the limitation of the doping concentration, when the polysilicon gate is biased, the carrier is lacking, and the depletion region is easily generated on the interface close to the polysilicon gate and the gate dielectric layer. This depletion effect not only increases the thickness of the equivalent gate dielectric layer, but also causes the gate capacitance value to decrease, which leads to the dilemma of component drive capability degradation. Therefore, new gate materials have been developed and produced, for example, by using work function metals instead of conventional polysilicon gates.

其次,隨著半導體元件的尺寸持續微縮,傳統MOS電晶體的閘極介電層厚度也隨之漸薄。然而,微薄的二氧化矽層或氮氧化矽層容易導致電子的穿遂效應(tunneling effect),因而產生漏電流過大的物理限制。為了有效延展邏輯元件的世代演進,MOS電晶體的閘極介電層開始採用高介電常數(以下簡稱為High-K)材料,以降低物理極限厚度,並且在相同的等效氧化厚度(equivalent oxide thickness,以下簡稱為EOT)下,有效降低漏電流,並達成等效電容以控制通道開關等優點。Secondly, as the size of the semiconductor device continues to shrink, the thickness of the gate dielectric layer of the conventional MOS transistor also becomes thinner. However, a thin layer of ruthenium dioxide or a layer of ruthenium oxynitride tends to cause a tunneling effect of electrons, thus causing a physical limitation of excessive leakage current. In order to effectively extend the evolution of logic components, the gate dielectric layer of MOS transistors begins to use high dielectric constant (hereinafter referred to as High-K) materials to reduce the physical limit thickness and at the same equivalent oxidation thickness (equivalent) Oxide thickness, hereinafter referred to as EOT), effectively reduces leakage current and achieves equivalent capacitance to control channel switching.

功函數金屬閘極一方面需要與NMOS電晶體搭配,另一方面則需與PMOS電晶體搭配,因此使得相關元件的整合技術以及製程控制更形複雜,且各材料的厚度與成分控制要求亦更形嚴苛。在這個嚴苛的製程環境下,如何製作良好之功函數金屬閘極,以提升MOS電晶體之運作效果,仍為現今一重要課題。The work function metal gate needs to be matched with the NMOS transistor on the one hand, and the PMOS transistor on the other hand, so that the integration technology and process control of the related components are more complicated, and the thickness and composition control requirements of each material are also more complicated. Strict. In this harsh process environment, how to make a good work function metal gate to improve the operational effect of MOS transistors is still an important issue today.

有鑑於此,本發明提供一種金屬閘極結構及其製作方法,使金屬閘極結構所含之氮/鈦元素比例會隨著厚度由下而上減少,可減少MOS電晶體的等效氧化厚度(equivalent oxide thickness,EOT),並且維持有效功函數(effective work function,EWF)。In view of the above, the present invention provides a metal gate structure and a manufacturing method thereof, so that the ratio of nitrogen/titanium elements contained in the metal gate structure decreases from bottom to top, and the equivalent oxidation thickness of the MOS transistor can be reduced. (equivalent oxide thickness, EOT), and maintain an effective work function (EWF).

根據本發明之較佳實施例,本發明提供一種金屬閘極結構,包括一閘極介電層、一設置於閘極介電層上之第一功函數金屬層,以及一設置於第一功函數金屬層上之第二功函數金屬層。其中,第一與第二功函數金屬層本質上均包括(being substantially composed by)一第一元素與一第二元素,且第一功函數金屬層具有至少一種與第二功函數金屬層不同之物理性質。According to a preferred embodiment of the present invention, a metal gate structure includes a gate dielectric layer, a first work function metal layer disposed on the gate dielectric layer, and a first work function A second work function metal layer on the functional metal layer. Wherein the first and second work function metal layers essentially comprise a first element and a second element, and the first work function metal layer has at least one different from the second work function metal layer Physical properties.

根據本發明之較佳實施例,本發明另提供一種金屬閘極結構,包括一閘極介電層,以及一設置於閘極介電層上之功函數金屬層。其中,功函數金屬層本質上包括一第一元素與一第二元素,且功函數金屬層具有至少一種隨著厚度改變之物理性質。According to a preferred embodiment of the present invention, the present invention further provides a metal gate structure including a gate dielectric layer and a work function metal layer disposed on the gate dielectric layer. Wherein, the work function metal layer essentially comprises a first element and a second element, and the work function metal layer has at least one physical property that changes with thickness.

根據本發明之較佳實施例,本發明另提供一種形成金屬閘極結構之方法,包括形成一閘極介電層,以及進行一製程以於閘極介電層上形成一功函數金屬。其中,形成該功函數金屬之該製程包括改變至少一製程參數。In accordance with a preferred embodiment of the present invention, the present invention further provides a method of forming a metal gate structure comprising forming a gate dielectric layer and performing a process to form a work function metal on the gate dielectric layer. Wherein the process of forming the work function metal comprises changing at least one process parameter.

據此,本發明可減少HK/MG(high-k dielectric and metal gate)型MOS電晶體的EOT,並且維持平帶電壓(flat band voltage)不致增加,進而提供良好之有效功函數。Accordingly, the present invention can reduce the EOT of a HK/MG (high-k dielectric and metal gate) type MOS transistor, and maintain the flat band voltage without increasing, thereby providing a good effective work function.

下文依本發明之金屬閘極結構及其製作方法,特舉實施例配合所附圖式作詳細說明,但所提供之實施例並非用以限制本發明所涵蓋的範圍,而方法流程步驟描述非用以限制其執行之順序,任何由方法步驟重新組合之執行流程,所產生具有均等功效的方法,皆為本發明所涵蓋的範圍。其中圖式僅以說明為目的,並未依照原尺寸作圖。In the following, the metal gate structure and the manufacturing method thereof according to the present invention are described in detail with reference to the accompanying drawings, but the embodiments are not intended to limit the scope of the present invention, and the method flow steps are not described. To limit the order of their execution, any method that has been re-combined by method steps, resulting in equal efficiency, is within the scope of the present invention. The drawings are for illustrative purposes only and are not drawn to the original dimensions.

本發明之金屬閘極結構可以為包含多晶矽與金屬之堆疊式閘極(poly-Si/metal stacking gate),或是僅使用金屬材料之金屬閘極,例如包含有不同功函數金屬之堆疊式閘極。金屬閘極結構之形成方式可以先沉積功函數金屬層與多晶矽層,再進行閘極微影蝕刻製程而形成金屬閘極。或者,先利用多晶矽材料形成虛置閘極導體(dummy gate conductor),在完成電晶體之後,利用蝕刻方式掏空虛置閘極導體之後,然後再填入所需的金屬,以製作金屬閘極。The metal gate structure of the present invention may be a poly-Si/metal stacking gate comprising polysilicon and a metal, or a metal gate using only a metal material, such as a stacked gate comprising different work function metals. pole. The metal gate structure can be formed by first depositing a work function metal layer and a polysilicon layer, and then performing a gate microlithography process to form a metal gate. Alternatively, a dummy gate conductor is formed by using a polysilicon material. After the transistor is completed, the dummy gate conductor is etched by etching, and then the desired metal is filled to form a metal gate.

請參照第1圖至第6圖,第1圖至第6圖為本發明第一較佳實施例製作具有金屬閘極66之電晶體的示意圖,其中第一較佳實施例係利用虛置閘極之方式形成金屬閘極66。圖式中相同的元件或部位沿用相同的符號來表示,且圖式僅以說明為目的,並未依照原尺寸作圖。如第1圖所示,首先提供一基底12,例如一矽基底、含矽基底、或一絕緣層上覆矽(silicon-on-insulator;SOI)基底等。在基底12中可定義至少一電晶體區14,並形成至少一淺溝隔離(shallow trench isolation,以下簡稱為STI)結構18。電晶體區14用以形成PMOS電晶體、NMOS電晶體或CMOS電晶體,而STI結構18用以隔離電晶體區14。接著在基底12表面形成一閘極介電層22,並於閘極介電層22上形成一選擇性之遮蓋層24。在本實施例中,閘極介電層22可包含矽酸鉿氧化合物(HfSiO)、矽酸鉿氮氧化合物(HfSiON)、氧化鉿(HfO)、氧化鑭(LaO)、鋁酸鑭(LaAlO)、氧化鋯(ZrO)、矽酸鋯氧化合物(ZrSiO)、鋯酸鉿(HfZrO)等高介電常數介電層或其組合。而於其他實施例中,閘極介電層22也可包含二氧化矽層或氮氧化矽層等介電層。Please refer to FIG. 1 to FIG. 6 . FIG. 1 to FIG. 6 are schematic diagrams showing a transistor having a metal gate 66 according to a first preferred embodiment of the present invention, wherein the first preferred embodiment utilizes a dummy gate. The metal gate 66 is formed in a polar manner. The same elements or parts in the drawings are denoted by the same symbols, and the drawings are for illustrative purposes only and are not drawn in the original size. As shown in FIG. 1, a substrate 12 is first provided, such as a germanium substrate, a germanium-containing substrate, or a silicon-on-insulator (SOI) substrate. At least one transistor region 14 may be defined in the substrate 12 and at least one shallow trench isolation (STI) structure 18 may be formed. The transistor region 14 is used to form a PMOS transistor, an NMOS transistor, or a CMOS transistor, and the STI structure 18 is used to isolate the transistor region 14. A gate dielectric layer 22 is then formed on the surface of the substrate 12 and a selective mask layer 24 is formed over the gate dielectric layer 22. In the present embodiment, the gate dielectric layer 22 may include hafnium oxynitride (HfSiO), niobium oxynitride (HfSiON), hafnium oxide (HfO), hafnium oxide (LaO), and hafnium aluminate (LaAlO). a high dielectric constant dielectric layer such as zirconium oxide (ZrO), zirconium oxynitride (ZrSiO), or hafnium zirconate (HfZrO) or a combination thereof. In other embodiments, the gate dielectric layer 22 may also include a dielectric layer such as a hafnium oxide layer or a hafnium oxynitride layer.

請繼續參閱第2a圖與第2b圖,其後進行一製程,例如進行一物理氣相沉積(physical vapor deposition,PVD)製程、化學氣相沉積(chemical vapor deposition,CVD)製程或原子層沉積(atomic layer deposition,ALD)製程,以於閘極介電層22上形成一個功函數金屬複合層26。於形成功函數金屬複合層26之製程中,本發明可改變至少一製程參數,以使本發明之功函數金屬複合層26可為多層結構。亦即於本實施例中,形成功函數金屬複合層26之製程實際上可包括複數個製程階段(step fashion),各製程階段個別之製程參數本質上維持固定,但相鄰之各製程階段之製程參數彼此不同。其具體之達成手段例如可以於形成功函數金屬複合層26之製程中停止供應製程氣體、功率或關閉動力(power off),藉以短暫中斷材料層的沉積動作,接著改變製程之至少一製程參數,例如製程氣體之氣體流量與/或製程功率,並且以改變後的製程參數繼續進行下一個製程階段。如此一來,本實施例之功函數金屬複合層26實際上包括複數個材料層,相鄰之材料層可具有不同之物理性質,且各材料層本身可具有均一之物理性質不會隨著厚度之變化而改變。Please continue to refer to Figures 2a and 2b, followed by a process such as a physical vapor deposition (PVD) process, a chemical vapor deposition (CVD) process or atomic layer deposition ( An atomic layer deposition (ALD) process is performed to form a work function metal composite layer 26 on the gate dielectric layer 22. In the process of forming the success function metal composite layer 26, the present invention can vary at least one process parameter such that the work function metal composite layer 26 of the present invention can be a multilayer structure. That is, in the embodiment, the process of the shape success function metal composite layer 26 may actually include a plurality of step fashions, and the individual process parameters of each process stage are essentially fixed, but adjacent process stages are The process parameters are different from each other. The specific means for accomplishing, for example, may stop supplying process gas, power or power off in the process of forming the success function metal composite layer 26, thereby temporarily interrupting the deposition of the material layer, and then changing at least one process parameter of the process, For example, the gas flow rate and/or process power of the process gas, and proceed to the next process stage with the changed process parameters. As such, the work function metal composite layer 26 of the present embodiment actually includes a plurality of material layers, adjacent material layers may have different physical properties, and each material layer itself may have uniform physical properties without thickness. Change with change.

更詳細地說,本發明可如第2a圖所示先形成第一功函數金屬層26a設置於閘極介電層22上,之後如第2b圖所示,形成第二功函數金屬層26b設置於第一功函數金屬層26a上,藉此本實施例之功函數金屬複合層26即可包括一第一功函數金屬層26a與一第二功函數金屬層26b。其中,本發明之第一與第二功函數金屬層26a、26b本質上均包括(being substantially composed by)一第一元素與一第二元素,其中第一元素較佳為鈦(titanium)或鉭(tantalum),而第二元素較佳為氮(nitrogen)。亦即,本發明之功函數金屬複合層26較佳可包括氮化鈦(TiN)或氮化鉭(TaN),且尤以氮化鈦為佳。In more detail, the present invention can form the first work function metal layer 26a on the gate dielectric layer 22 as shown in FIG. 2a, and then form the second work function metal layer 26b as shown in FIG. 2b. On the first work function metal layer 26a, the work function metal composite layer 26 of the present embodiment can include a first work function metal layer 26a and a second work function metal layer 26b. Wherein, the first and second work function metal layers 26a, 26b of the present invention essentially comprise a first element and a second element, wherein the first element is preferably titanium or tantalum. (tantalum), and the second element is preferably nitrogen. That is, the work function metal composite layer 26 of the present invention may preferably comprise titanium nitride (TiN) or tantalum nitride (TaN), and particularly preferably titanium nitride.

第一與第二功函數金屬層26a、26b之主要不同之處在於,由於形成第一功函數金屬層26a之製程參數與形成第二功函數金屬層26b之製程參數不同,因此第一功函數金屬層26a可以具有至少一種與第二功函數金屬層26b不同之物理性質,例如分子式(譬如元素成分比例)、密度、電阻係數(resistivity)或晶體排列方向。例如於本實施例中,形成第一功函數金屬層26a之製程參數如下:直流功率較佳是約介於800瓦至1200瓦,交流功率較佳是約介於640瓦至960瓦,氬氣流量較佳是約介於16sccm(standard cubic centimeters per minute,每分鐘標準毫升)至24sccm,氮氣流量較佳是約介於32sccm至48sccm,其中氮氣流量/氬氣流量之比值大體上介於1.6至2.4之間,使得第一功函數金屬層26a可以具有較高之氮/鈦元素比例(N-rich,亦稱為富氮),更明確地說,第一功函數金屬之鈦/氮元素比例大體上大於0.8。再者,形成第二功函數金屬層26b之製程參數如下:直流功率較佳是約介於800瓦至1200瓦,交流功率較佳是約介於640瓦至960瓦,氬氣流量較佳是約介於16sccm至24sccm,氮氣流量較佳是約介於16sccm至24sccm,其中氮氣流量/氬氣流量之比值大體上介於0.8至1.2之間,使得第二功函數金屬層26b可以具有較高之鈦/氮元素比例(Ti-rich,亦稱為富鈦),更明確地說,第二功函數金屬之鈦/氮元素比例大體上小於0.9。The main difference between the first and second work function metal layers 26a, 26b is that the first work function is different because the process parameters for forming the first work function metal layer 26a are different from the process parameters for forming the second work function metal layer 26b. The metal layer 26a may have at least one physical property different from the second work function metal layer 26b, such as a molecular formula (such as an elemental composition ratio), a density, a resistivity, or a crystal alignment direction. For example, in the present embodiment, the process parameters for forming the first work function metal layer 26a are as follows: the DC power is preferably about 800 watts to 1200 watts, and the AC power is preferably about 640 watts to 960 watts, argon gas. Preferably, the flow rate is about 16 sccm (standard cubic centimeters per minute) to 24 sccm, and the nitrogen flow rate is preferably about 32 sccm to 48 sccm, wherein the ratio of nitrogen flow rate to argon flow rate is substantially between 1.6 and Between 2.4, the first work function metal layer 26a may have a higher nitrogen/titanium element ratio (N-rich, also referred to as nitrogen-rich), more specifically, the titanium/nitrogen element ratio of the first work function metal. It is generally greater than 0.8. Furthermore, the process parameters for forming the second work function metal layer 26b are as follows: the DC power is preferably about 800 watts to 1200 watts, and the AC power is preferably about 640 watts to 960 watts, and the argon flow rate is preferably The flow rate of nitrogen is preferably from about 16 sccm to about 24 sccm, and the flow rate of nitrogen gas is preferably between about 16 sccm and 24 sccm, wherein the ratio of nitrogen flow rate to argon flow rate is substantially between 0.8 and 1.2, so that the second work function metal layer 26b can have a higher The titanium/nitrogen element ratio (Ti-rich, also known as titanium-rich), more specifically, the titanium/nitrogen element ratio of the second work function metal is substantially less than 0.9.

此處所謂之Ti-rich係表示功函數金屬層呈現金屬狀態(metallic mode),亦即功函數金屬層表面之主要元素仍為鈦元素;而所謂之N-rich係表示功函數金屬層呈現化合狀態(poison mode),亦即功函數金屬層表面已被氮化合為氮化鈦。因此,隨著製程氣體氮氣之氣體流量逐漸增加,所形成之功函數金屬層的氮元素含量也會逐漸增加,因此氮氣流量越大越容易形成化合狀態之功函數金屬層,反之亦然。The Ti-rich system here means that the work function metal layer exhibits a metallic mode, that is, the main element of the surface of the work function metal layer is still titanium; and the so-called N-rich system indicates that the work function metal layer exhibits a combination. The poison mode, that is, the surface of the work function metal layer has been nitrided into titanium nitride. Therefore, as the gas flow rate of the process gas nitrogen is gradually increased, the nitrogen element content of the formed work function metal layer is gradually increased, so that the larger the nitrogen gas flow rate, the easier it is to form the work function metal layer in the combined state, and vice versa.

針對第一與第二功函數金屬層26a、26b之材料選擇,於製作CMOS電晶體元件等具有NMOS電晶體與PMOS電晶體之狀況下,金屬閘極之費米能位(fermi level)較佳是接近矽的中間能階(mid-gap),以便於調整NMOS電晶體與PMOS電晶體的臨界電壓(Vth),使NMOS電晶體與PMOS電晶體的臨界電壓能相匹配。此外,本發明之金屬閘極材料較佳是具備良好熱穩定性、阻擋性與附著性,使閘極材料本身不易滲入基板或介電層中造成污染,不易讓雜質穿透擴散,且不易剝落。符合上述條件之材料均可作為第一與第二功函數金屬層26a、26b之材料。例如於其他實施例中,功函數金屬複合層26亦可包括其他金屬材料層,像是氮化鎢(WN)。For the material selection of the first and second work function metal layers 26a, 26b, the fermi level of the metal gate is better in the case of fabricating a CMOS transistor or the like having an NMOS transistor and a PMOS transistor. It is close to the mid-gap of the erbium to adjust the threshold voltage (Vth) of the NMOS transistor and the PMOS transistor to match the threshold voltage of the NMOS transistor to the PMOS transistor. In addition, the metal gate material of the present invention preferably has good thermal stability, barrier properties and adhesion, so that the gate material itself does not easily penetrate into the substrate or the dielectric layer to cause pollution, and it is difficult for the impurities to penetrate and spread, and is not easily peeled off. . Materials conforming to the above conditions can be used as the material of the first and second work function metal layers 26a, 26b. For example, in other embodiments, the work function metal composite layer 26 may also include other layers of metallic material, such as tungsten nitride (WN).

一般而言,PMOS適合之功函數數值約5.2,而NMOS適合之功函數數值約4.11。根據本發明之研究,具有金屬閘極之MOS電晶體較佳使用前述之氮化鈦作為中間能階之材料,而本發明所形成之金屬閘極將可適用於PMOS電晶體、NMOS電晶體或CMOS電晶體,尤其本發明使用了N-rich之氮化鈦可以提供PMOS較適合之功函數數值。然而需注意的是,N-rich之氮化鈦同時也會導致較大的等效氧化厚度(equivalent oxide thickness,EOT)。這是因為當氮元素或氧元素等非導電物質穿過MOS之功函數金屬(work function metal)時,會導致MOS呈現之EOT增加,偏離預定值。因此,本發明於N-rich之氮化鈦上再形成一層Ti-rich之氮化鈦,藉由Ti-rich之氮化鈦可以提供縮減EOT之效果。In general, the PMOS is suitable for a work function value of about 5.2, while the NMOS is suitable for a work function value of about 4.11. According to the research of the present invention, the MOS transistor having the metal gate preferably uses the foregoing titanium nitride as the material of the intermediate level, and the metal gate formed by the invention will be applicable to the PMOS transistor, the NMOS transistor or CMOS transistors, especially the use of N-rich titanium nitride in the present invention, can provide a suitable work function value for PMOS. However, it should be noted that N-rich titanium nitride also leads to a large equivalent oxide thickness (EOT). This is because when a non-conductive substance such as a nitrogen element or an oxygen element passes through the work function metal of the MOS, the EOT of the MOS presentation is increased, deviating from the predetermined value. Therefore, the present invention further forms a Ti-rich titanium nitride on N-rich titanium nitride, and Ti-rich titanium nitride can provide an effect of reducing EOT.

針對製程參數之部分,本發明研究發現,隨著製程氣體氮氣之氣體流量逐漸增加,所形成之功函數金屬層的物理性質,例如元素成分比例、密度、電阻係數、晶體排列方向與缺陷密度(defect density),均可能受到影響。其中,製程氣體氮氣之氣體流量接近20sccm時,功函數金屬層的物理性質會具有非線性之轉變,稱之為轉換點(turning point)。轉換點產生之一可能原因在於,當氮氣之流量接近例如20sccm時,晶格排列方向會傾向於(1,1,1)與(2,0,0)之間作轉換。當製程氣體氮氣之氣體流量小於20sccm時,功函數金屬層的電阻係數與EOT均係隨著氮氣流量之增加而逐漸降低,且功函數金屬層的平帶電壓之增加量係隨著氮氣流量之增加而漸增;當製程氣體氮氣之氣體流量大於20sccm時,功函數金屬層的電阻係數與EOT均係隨著氮氣流量之增加而逐漸增加,且功函數金屬層的平帶電壓之增加量係隨著氮氣流量之增加而趨緩。所以,當製程氣體氮氣之氣體流量接近20sccm時(接近轉換點時),所形成之Ti-rich之氮化鈦對於薄化EOT可以提供更佳之效果。For the part of the process parameters, the present inventors have found that as the gas flow rate of the process gas nitrogen is gradually increased, the physical properties of the formed work function metal layer, such as elemental composition ratio, density, resistivity, crystal alignment direction and defect density ( The defect density) may be affected. Wherein, when the gas flow rate of the process gas nitrogen is close to 20 sccm, the physical properties of the work function metal layer may have a nonlinear transition, which is called a turning point. One of the possible reasons for the conversion point generation is that when the flow rate of nitrogen is close to, for example, 20 sccm, the lattice alignment direction tends to be converted between (1, 1, 1) and (2, 0, 0). When the gas flow rate of the process gas nitrogen is less than 20sccm, the resistivity and EOT of the work function metal layer gradually decrease with the increase of the nitrogen flow rate, and the increase of the flat band voltage of the work function metal layer is accompanied by the nitrogen flow rate. Increasing and increasing; when the gas flow rate of the process gas nitrogen is greater than 20sccm, the resistivity and EOT of the work function metal layer are gradually increased with the increase of the nitrogen flow rate, and the increase of the flat band voltage of the work function metal layer is Slows as the nitrogen flow increases. Therefore, when the gas flow rate of the process gas nitrogen is close to 20 sccm (close to the switching point), the formed Ti-rich titanium nitride can provide a better effect for thinning EOT.

此外,由於CVD製程所形成之氮化鈦通常較容易具有雜質,而高功率PVD製程之電漿可能會影響到High-K材料本身,因此尤以低功率之PVD製程所形成之氮化鈦可具有更佳之品質。In addition, since the titanium nitride formed by the CVD process is generally more likely to have impurities, and the plasma of the high-power PVD process may affect the High-K material itself, the titanium nitride formed by the low-power PVD process may be used. Have better quality.

接下來如第3圖所示,於功函數金屬複合層26上形成一多晶矽層28以及一遮罩層30。多晶矽層28係用來做為一犧牲層,可包含不具有任何摻質(undoped)的多晶矽材料、具有摻質的多晶矽材料、非晶矽或其他材料所構成;而遮罩層30則可包含二氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)或氮氧化矽(SiON)。接著,形成一圖案化光阻層(圖未示)在遮罩層30上,並利用圖案化光阻層當作遮罩進行一圖案轉移製程,去除部分的遮罩層30,再以單次蝕刻或逐次蝕刻步驟去除部分的多晶矽層28、功函數金屬複合層26及閘極介電層22,並剝除此圖案化光阻層,以於電晶體區14形成一虛置閘極32。Next, as shown in FIG. 3, a polysilicon layer 28 and a mask layer 30 are formed on the work function metal composite layer 26. The polysilicon layer 28 is used as a sacrificial layer, and may comprise a polycrystalline germanium material without any doped, a polycrystalline germanium material having a dopant, an amorphous germanium or other material; and the mask layer 30 may comprise Cerium oxide (SiO 2 ), tantalum nitride (SiN), tantalum carbide (SiC) or niobium oxynitride (SiON). Next, a patterned photoresist layer (not shown) is formed on the mask layer 30, and a pattern transfer process is performed using the patterned photoresist layer as a mask to remove a portion of the mask layer 30, and then a single pass. A portion of the polysilicon layer 28, the work function metal composite layer 26, and the gate dielectric layer 22 are removed by etching or successive etching steps, and the patterned photoresist layer is stripped to form a dummy gate 32 in the transistor region 14.

然後如第4圖所示,在電晶體區14選擇性進行一輕摻雜製程,以形成所需的輕摻雜源與汲極。舉例來說,本發明可先覆蓋一圖案化光阻層(圖未示)在電晶體區14以外的區域,然後利用該圖案化光阻層當作遮罩進行一離子佈植製程,將N型摻質植入電晶體區14之虛置閘極32兩側的基底12中,以於形成NMOS電晶體之輕摻雜源極與汲極34。或者,將P型摻質植入電晶體區14之虛置閘極32兩側的基底12中,以形成PMOS電晶體之輕摻雜源極與汲極34。另外,在形成輕摻雜源極與汲極34之前還可於虛置閘極32之側壁先形成襯墊層(offset spacer,圖未示)。Then, as shown in Fig. 4, a light doping process is selectively performed in the transistor region 14 to form the desired lightly doped source and drain. For example, the present invention may first cover a region of a patterned photoresist layer (not shown) outside the transistor region 14, and then use the patterned photoresist layer as a mask to perform an ion implantation process. The dopants are implanted into the substrate 12 on either side of the dummy gate 32 of the transistor region 14 to form the lightly doped source and drain 34 of the NMOS transistor. Alternatively, a P-type dopant is implanted into the substrate 12 on either side of the dummy gate 32 of the transistor region 14 to form a lightly doped source and drain 34 of the PMOS transistor. In addition, a spacer layer (not shown) may be formed on the sidewall of the dummy gate 32 before the lightly doped source and drain 34 are formed.

隨後進行側壁子製程,例如先氧化多晶矽層28的表面或以沉積的方式形成一氧化矽層38,接著再沉積一氮化矽層40並利用蝕刻方式形成由氧化矽層38與氮化矽層40所構成的側壁子,設置於虛置閘極32的側壁周圍。針對不同導電類型之MOS電晶體,另可以選擇性形成應力覆蓋層(stressed cap layer)或進行一選擇性磊晶成長(selective epitaxial growth,SEG)製程,以對PMOS電晶體的通道區域施加壓縮應力(compressive strain),或NMOS電晶體的通道區域施加拉伸應力(tensile strain)。Subsequently, a sidewall process is performed, such as first oxidizing the surface of the polysilicon layer 28 or depositing a tantalum oxide layer 38, followed by depositing a tantalum nitride layer 40 and forming an oxide layer 38 and a tantalum nitride layer by etching. The side wall formed by 40 is disposed around the side wall of the dummy gate 32. For different conductivity type MOS transistors, a stress cap layer may be selectively formed or a selective epitaxial growth (SEG) process may be applied to apply compressive stress to the channel region of the PMOS transistor. (compressive strain), or a channel region of an NMOS transistor that exerts a tensile strain.

隨後進行一重摻雜離子佈植製程,以分別形成所需的源極/汲極區域48。源極/汲極區域48之形成方式類似上述形成輕摻雜汲極的作法,惟源極/汲極區域48之離子佈植製程之摻質濃度較高,故不再贅述。另需注意的是,上述源極/汲極區域的形成製程亦可利用選擇性磊晶成長製程來達成,且側壁子的數目並不限於此。接著可選擇性形成一氮化矽層54在虛置閘極32、氮化矽層40與基底12表面。氮化矽層54主要做為後續進行平坦化時之一蝕刻停止層,亦可形成較厚之氮化矽層兼作為應力覆蓋層。然後形成一層間介電層(interlayer dielectric)56並覆蓋氮化矽層54。此層間介電層可包含氮化物、氧化物、碳化物、低介電係數材料其中之一或其組合。A heavily doped ion implantation process is then performed to form the desired source/drain regions 48, respectively. The source/drain region 48 is formed in a manner similar to the above-described method of forming a lightly doped drain, but the dopant concentration of the source/drain region 48 is higher, and therefore will not be described again. It should be noted that the formation process of the source/drain regions described above may also be achieved by a selective epitaxial growth process, and the number of sidewalls is not limited thereto. A tantalum nitride layer 54 is then selectively formed on the dummy gate 32, the tantalum nitride layer 40, and the surface of the substrate 12. The tantalum nitride layer 54 is mainly used as an etch stop layer for subsequent planarization, and a thick tantalum nitride layer can also be formed as a stress coating layer. An interlayer dielectric 56 is then formed and covers the tantalum nitride layer 54. The interlayer dielectric layer may comprise one or a combination of nitrides, oxides, carbides, low dielectric constant materials.

如第5圖所示,進行一化學機械研磨(chemical mechanical polishing,CMP)製程或一乾蝕刻製程,以去除部分的層間介電層56、氮化矽層54及遮罩層30,並使多晶矽層28頂部約略切齊於層間介電層56表面而受到裸露。接著,進行一選擇性之乾蝕刻或濕蝕刻製程,例如利用氨水(ammonium hydroxide,NH4OH)或氫氧化四甲銨(Tetramethylammonium Hydroxide,TMAH)等蝕刻溶液來去除電晶體區14中的多晶矽層28但不蝕刻層間介電層56,以在電晶體區14形成一開口58,同時暴露出設於各開口58底部的功函數金屬複合層26。此處CMP製程或乾蝕刻製程可以設定一預定之進行時間,藉以直接暴露出多晶矽層28。或者,也可以根據不同材料層之研磨狀況而將CMP製程區分為多個階段,例如先以遮罩層30表面作為第一階段之研磨終止點,依序研磨層間介電層56與氮化矽層54直至遮罩層30表面,此時可偵測出研磨之材料層變化而停止第一階段之研磨,之後再進行第二階段向下研磨遮罩層30直至多晶矽層28表面。第二階段之研磨可以設定是持續一預定時間,或者是可以偵測研磨之材料層變化作為研磨終止點。As shown in FIG. 5, a chemical mechanical polishing (CMP) process or a dry etching process is performed to remove portions of the interlayer dielectric layer 56, the tantalum nitride layer 54 and the mask layer 30, and the polysilicon layer is formed. The top of 28 is approximately flush with the surface of the interlayer dielectric layer 56 and is exposed. Then, a selective dry etching or wet etching process is performed, for example, using an etching solution such as ammonium hydroxide (NH 4 OH) or Tetramethylammonium Hydroxide (TMAH) to remove the polycrystalline germanium layer in the transistor region 14. 28, but the interlayer dielectric layer 56 is not etched to form an opening 58 in the transistor region 14 while exposing the work function metal composite layer 26 provided at the bottom of each opening 58. Here, the CMP process or the dry etch process can be set for a predetermined period of time to directly expose the polysilicon layer 28. Alternatively, the CMP process may be divided into a plurality of stages according to the grinding conditions of the different material layers. For example, the surface of the mask layer 30 is used as the polishing end point of the first stage, and the interlayer dielectric layer 56 and the tantalum nitride are sequentially polished. The layer 54 is up to the surface of the mask layer 30, at which point a change in the layer of the ground material is detected to stop the first stage of polishing, and then a second stage is performed to polish the mask layer 30 down to the surface of the polysilicon layer 28. The second stage of grinding can be set to last for a predetermined period of time, or the change in the layer of material being ground can be detected as the point of end of the grinding.

於其他實施例中,也可以先進行CMP製程或乾蝕刻製程層間介電層56與氮化矽層54直至遮罩層30表面,再利用濕蝕刻製程去除遮罩層30。In other embodiments, the CMP process or the dry etch process may be performed first to the interlayer dielectric layer 56 and the tantalum nitride layer 54 to the surface of the mask layer 30, and then the mask layer 30 is removed by a wet etching process.

接著如第6圖所示,填入一由低電阻材料所構成的導電層64在電晶體區14的功函數金屬複合層26上並填滿開口58。在本實施例中,導電層64可由鋁、鎢、鈦鋁合金(TiAl)或鈷鎢磷化物(cobalt tungsten phosphide,CoWP)等低電阻材料所構成。之後,進行另一化學機械研磨製程,去除部分的導電層64,以形成具有金屬閘極結構66的MOS電晶體。Next, as shown in Fig. 6, a conductive layer 64 of a low resistance material is filled in the work function metal composite layer 26 of the transistor region 14 and fills the opening 58. In this embodiment, the conductive layer 64 may be composed of a low-resistance material such as aluminum, tungsten, titanium aluminum alloy (TiAl) or cobalt tungsten phosphide (CoWP). Thereafter, another CMP process is performed to remove portions of the conductive layer 64 to form a MOS transistor having a metal gate structure 66.

值得注意的是,本發明之金屬閘極結構可以應用於閘極前置(gate-first)製程,換言之,不需定義虛置閘極,而是直接使用包含有不同功函數金屬之堆疊式結構作為閘極。更明確地說,另一較佳實施例可根據第1圖之步驟,先提供基底12、STI結構18、閘極介電層22與選擇性之遮蓋層24。接著,可如第2a圖所示,先形成第一功函數金屬層26a設置於閘極介電層22上,之後如第2b圖所示,形成第二功函數金屬層26b設置於第一功函數金屬層26a上,而不需定義虛置閘極,即可完成金屬閘極結構之製作。It should be noted that the metal gate structure of the present invention can be applied to a gate-first process, in other words, without defining a dummy gate, a stacked structure containing metals having different work function is directly used. As a gate. More specifically, another preferred embodiment can provide the substrate 12, the STI structure 18, the gate dielectric layer 22, and the selective mask layer 24 in accordance with the steps of FIG. Next, as shown in FIG. 2a, the first work function metal layer 26a is first formed on the gate dielectric layer 22, and then, as shown in FIG. 2b, the second work function metal layer 26b is formed on the first work. The metal gate structure can be fabricated on the metal layer 26a of the function without defining a dummy gate.

此外,上述第1圖至第6圖的製程進行順序均可依製程需求改變或調整,例如於其他實施例中,第一與第二功函數金屬層26a、26b亦可於去除多晶矽層28之後再形成於遮蓋層24上。換言之,於其他實施例中,形成虛置閘極時並不沉積功函數金屬層,而在將虛置閘極之多晶矽層移除後,才沉積功函數金屬層,故在此一作法中功函數金屬層亦會存在於閘極側壁。In addition, the process sequence of the above-mentioned FIG. 1 to FIG. 6 may be changed or adjusted according to the process requirements. For example, in other embodiments, the first and second work function metal layers 26a, 26b may also be after the polysilicon layer 28 is removed. It is formed on the cover layer 24. In other words, in other embodiments, the work function metal layer is not deposited when the dummy gate is formed, and the work function metal layer is deposited after the dummy gate layer of the dummy gate is removed, so in this method, the work is performed. The functional metal layer will also be present on the sidewall of the gate.

據此,前述金屬閘極結構66可以作為PMOS電晶體、NMOS電晶體或CMOS電晶體之閘極。此外,為了更符合不同類型電晶體之所需功函數數值或其他所需特性,本發明亦可對功函數金屬複合層進行佈值、表面處理、改變製程條件,或是增減功函數金屬複合層之材料層數目。例如,本發明可以針對所需之PMOS電晶體區域,於前述之第一或第二功函數金屬層26a、26b上另行佈值氮離子,以增加功函數數值。Accordingly, the metal gate structure 66 can function as a gate of a PMOS transistor, an NMOS transistor, or a CMOS transistor. In addition, in order to better meet the required work function values or other desired characteristics of different types of transistors, the present invention can also perform work value metal coating on the work function metal layer, change the process conditions, or increase or decrease the work function metal compound. The number of layers of material in the layer. For example, the present invention may additionally provide nitrogen ions on the first or second work function metal layers 26a, 26b for the desired PMOS transistor region to increase the work function value.

再者,本發明更可於前述之功函數金屬複合層26上另覆蓋其他功函數金屬層,例如另覆蓋N-rich之功函數金屬層。請參照第7圖,第7圖為本發明第二較佳實施例製作具有金屬閘極166之電晶體的示意圖。如第7圖所示,本實施例可根據第1圖之步驟先提供基底12、STI結構18、閘極介電層22與選擇性之遮蓋層24。而第二較佳實施例與第一較佳實施例之一不同之處在於,第二較佳實施例形成功函數金屬複合層126之製程實際上可包括至少三個製程階段,分別用以形成第一、第二與第三功函數金屬層26a、26b、26c。亦即,利用第2a圖至第2b圖之步驟形成第一與第二功函數金屬層26a、26b之後,再改變製程參數而形成第三功函數金屬層26c。其中,第三功函數金屬層26c與相鄰之第二功函數金屬層26b之至少一物理性質不同。舉例而言,第三功函數金屬層26c較佳可以為N-rich之氮化鈦,例如第三功函數金屬層26c可以與第一功函數金屬層26a之物理性質與製程參數相同。其後,再利用前述第3圖至第6圖之步驟形成具有金屬閘極結構166的MOS電晶體。Furthermore, the present invention can further cover other work function metal layers on the work function metal composite layer 26, for example, another N-rich work function metal layer. Please refer to FIG. 7. FIG. 7 is a schematic view showing the fabrication of a transistor having a metal gate 166 according to a second preferred embodiment of the present invention. As shown in FIG. 7, this embodiment can first provide the substrate 12, the STI structure 18, the gate dielectric layer 22, and the selective mask layer 24 in accordance with the steps of FIG. The second preferred embodiment is different from the first preferred embodiment in that the process of the second preferred embodiment of the success function metal composite layer 126 may actually include at least three process stages for forming First, second and third work function metal layers 26a, 26b, 26c. That is, after the first and second work function metal layers 26a, 26b are formed by the steps of FIGS. 2a to 2b, the process parameters are changed to form the third work function metal layer 26c. The third work function metal layer 26c is different from at least one physical property of the adjacent second work function metal layer 26b. For example, the third work function metal layer 26c may preferably be N-rich titanium nitride. For example, the third work function metal layer 26c may have the same physical properties and process parameters as the first work function metal layer 26a. Thereafter, the MOS transistor having the metal gate structure 166 is formed by the steps of FIGS. 3 to 6 described above.

據此,第二實施例之較佳實施態樣係使用PVD製程形成功函數金屬複合層126,其中第一製程階段之氮氣流量本質上較佳是約介於32sccm至48sccm,製程功率較佳是約介於400瓦至600瓦,第一功函數金屬層26a之實際材料層厚度較佳是約介於48埃(angstrom)至72埃;第二製程階段之氮氣流量較佳是約介於16sccm至24sccm,製程功率較佳是約介於800瓦至1200瓦,第二功函數金屬層26b之實際材料層厚度較佳是約介於64埃(angstrom)至96埃;第三製程階段之氮氣流量較佳是約介於32sccm至48sccm,製程功率較佳是約介於400瓦至600瓦,第三功函數金屬層26c之實際材料層厚度較佳是約介於48埃(angstrom)至72埃。如此一來,本實施例可以提供約14.19奈米(nanometer)之EOT、約-0.565伏特之平帶電壓,與約4.58之功函數數值。Accordingly, the preferred embodiment of the second embodiment uses a PVD process-shaped success function metal composite layer 126, wherein the nitrogen flow rate in the first process stage is preferably about 32 sccm to 48 sccm, and the process power is preferably Between about 400 watts and 600 watts, the actual material layer thickness of the first work function metal layer 26a is preferably from about 48 angstroms to 72 angstroms; and the second process stage nitrogen flow rate is preferably about 16 sccm. The process power is preferably from about 800 watts to about 1200 watts to 24 sccm, and the actual material layer thickness of the second work function metal layer 26b is preferably from about 64 angstroms to 96 angstroms; the nitrogen in the third process stage The flow rate is preferably from about 32 sccm to about 48 sccm, the process power is preferably from about 400 watts to about 600 watts, and the actual material layer thickness of the third work function metal layer 26c is preferably from about 48 angstroms to 72 angstroms. Ai. As such, the present embodiment can provide an EOT of about 14.19 nanometers, a flat band voltage of about -0.565 volts, and a work function value of about 4.58.

於其他實施例中,形成功函數金屬複合層之製程實際上亦可不必被區分成複數個製程階段,而是於單一製程中逐漸調整製程參數,使得氮化鈦複合結構或單一氮化鈦層所含之氮/鈦元素比例會隨著厚度由下而上減少。請參照第8圖與第9圖,第8圖與第9圖為本發明第三與第四較佳實施例製作具有金屬閘極266、366之電晶體的示意圖。In other embodiments, the process of forming the metal composite layer of the success function may not actually be divided into a plurality of process stages, but the process parameters are gradually adjusted in a single process to make the titanium nitride composite structure or a single titanium nitride layer. The nitrogen/titanium element ratio will decrease from bottom to top with thickness. Please refer to FIG. 8 and FIG. 9 . FIG. 8 and FIG. 9 are schematic diagrams showing the fabrication of a transistor having metal gates 266 and 366 according to the third and fourth preferred embodiments of the present invention.

如第8圖所示,第三較佳實施例與第一較佳實施例之一不同之處在於,第三較佳實施例形成功函數金屬複合層226之製程實際上係逐漸調整製程參數,使功函數金屬複合層226可以僅包含單一氮化鈦層,且功函數金屬複合層226所含之至少一種物理性質,例如氮/鈦元素比例,會隨著厚度由下而上逐漸減少。功函數金屬複合層226之具體形成方式例如可以逐漸調整製程之氮氣之氣體流量或製程功率,使得氮氣之氣體流量從40sccm至20sccm逐漸減少。As shown in FIG. 8, the third preferred embodiment is different from the first preferred embodiment in that the process of forming the success function metal composite layer 226 of the third preferred embodiment is actually gradually adjusting the process parameters. The work function metal composite layer 226 may comprise only a single titanium nitride layer, and at least one physical property of the work function metal composite layer 226, such as a nitrogen/titanium element ratio, may gradually decrease from bottom to top. The specific formation manner of the work function metal composite layer 226 can, for example, gradually adjust the gas flow rate or the process power of the nitrogen gas of the process, so that the gas flow rate of the nitrogen gas gradually decreases from 40 sccm to 20 sccm.

或者如第9圖所示,第四較佳實施例與第三較佳實施例之一不同之處在於,第四較佳實施例於逐漸改變製程參數之步驟中,包括一抽氣降壓(pumping down)之步驟。如此一來,第一功函數金屬層326a本身所含之至少一種物理性質,例如氮/鈦元素比例,會隨著厚度由下而上逐漸減少;第二功函數金屬層326b本身所含之至少一種物理性質,例如氮/鈦元素比例,也會隨著厚度由下而上逐漸減少;但是功函數金屬複合層326之物理性質並非隨著厚度的改變而連續變化,而是於進行抽氣降壓步驟的前後會有不連續之物理性質變化,也就是說第一與第二功函數金屬層26a、26b交界處之物理性質並非連續變化。Or, as shown in FIG. 9, the fourth preferred embodiment is different from the third preferred embodiment in that the fourth preferred embodiment includes a pumping step-down in the step of gradually changing the process parameters ( Pumping down). As a result, the first work function metal layer 326a itself contains at least one physical property, such as a nitrogen/titanium element ratio, which gradually decreases from bottom to top; the second work function metal layer 326b itself contains at least A physical property, such as a nitrogen/titanium element ratio, also gradually decreases with thickness from bottom to top; however, the physical properties of the work function metal composite layer 326 do not continuously change with thickness, but are instead pumped down. There is a discontinuous physical property change before and after the pressing step, that is, the physical properties at the junction of the first and second work function metal layers 26a, 26b are not continuously changed.

於其他實施例中,本發明亦可不採用虛置閘極的作法,亦即不去除多晶矽層28及填充導電層64,而是直接使用多晶矽層28作為閘極結構的一部份,或是一開始就於功函數金屬複合層26、126、226、326上形成導電層64來取代多晶矽層28,以形成金屬閘極。In other embodiments, the present invention may also not use a dummy gate, that is, without removing the polysilicon layer 28 and filling the conductive layer 64, but directly using the polysilicon layer 28 as part of the gate structure, or A conductive layer 64 is formed on the work function metal composite layers 26, 126, 226, 326 to replace the polysilicon layer 28 to form a metal gate.

綜上所述,本發明之金屬閘極結構包含有功函數金屬複合層,而功函數金屬複合層之物理性質會隨著厚度改變。例如,功函數金屬複合層可以為氮化鈦複合結構,而氮化鈦複合結構所含之氮/鈦元素比例會隨著厚度由下而上減少。具體而言,氮化鈦複合結構可包含單一氮化鈦層,且氮化鈦層所含之氮/鈦元素比例會隨著厚度由下而上逐漸減少;或者,氮化鈦複合結構可包含複數層堆疊之氮化鈦層,其中各氮化鈦層本身所含之氮/鈦元素比例維持固定,而位於最下層之氮化鈦層的氮/鈦元素比例會比相鄰之氮化鈦層的氮/鈦元素比例更高;又或者,氮化鈦複合結構可包含複數層堆疊之氮化鈦層,其中各氮化鈦層本身所含之氮/鈦元素比例會隨著厚度由下而上逐漸減少,而位於最下層之氮化鈦層的氮/鈦元素比例又會比相鄰之氮化鈦層的氮/鈦元素比例更高。In summary, the metal gate structure of the present invention comprises a work function metal composite layer, and the physical properties of the work function metal composite layer vary with thickness. For example, the work function metal composite layer may be a titanium nitride composite structure, and the nitrogen/titanium element ratio contained in the titanium nitride composite structure may decrease from bottom to top with thickness. Specifically, the titanium nitride composite structure may include a single titanium nitride layer, and the ratio of nitrogen/titanium elements contained in the titanium nitride layer may gradually decrease from bottom to top; or, the titanium nitride composite structure may include a plurality of stacked titanium nitride layers, wherein the ratio of nitrogen/titanium elements contained in each titanium nitride layer is maintained constant, and the proportion of nitrogen/titanium elements in the lowermost titanium nitride layer is higher than that of adjacent titanium nitride The ratio of nitrogen/titanium elements of the layer is higher; or alternatively, the titanium nitride composite structure may comprise a plurality of layers of titanium nitride layers stacked, wherein the ratio of nitrogen/titanium elements contained in each titanium nitride layer itself varies with thickness The upper portion is gradually reduced, and the ratio of nitrogen/titanium elements in the lowermost titanium nitride layer is higher than the ratio of nitrogen/titanium elements in the adjacent titanium nitride layer.

藉由上述作法,本發明可具有下列優點。首先,金屬閘極結構具有低電阻與無空乏效應等優點,可比傳統多晶矽閘極具有更好的元件驅動能力與速度。此外,由於位於氮化鈦複合結構最下層之氮化鈦層會具有較高的氮/鈦元素比例(N-rich),因此可以提供PMOS較適合之功函數數值。再者,氮化鈦複合結構中同時會具有鈦/氮元素比例較高(Ti-rich)的氮化鈦層,因此可有效避免氮元素或氧元素等非導電物質穿過金屬閘極結構之功函數金屬,進而避免EOT偏離預定值,且較低氮/鈦元素比例的氮化鈦層(製程氣體氮氣之氣體流量約介於16sccm至24sccm)也可以提供較薄之EOT效果。據此,本發明可提升MOS電晶體的整體效能。By the above method, the present invention can have the following advantages. First of all, the metal gate structure has the advantages of low resistance and no depletion effect, and has better component driving capability and speed than the conventional polysilicon gate. In addition, since the titanium nitride layer located at the lowermost layer of the titanium nitride composite structure has a higher nitrogen/titanium element ratio (N-rich), a work function value suitable for PMOS can be provided. Furthermore, the titanium nitride composite structure also has a titanium nitride layer having a high titanium/nitrogen element ratio (Ti-rich), thereby effectively preventing non-conductive substances such as nitrogen or oxygen from passing through the metal gate structure. The work function metal, which in turn prevents the EOT from deviating from the predetermined value, and the titanium nitride layer having a lower nitrogen/titanium ratio (the gas flow rate of the process gas nitrogen is about 16 sccm to 24 sccm) can also provide a thinner EOT effect. Accordingly, the present invention can improve the overall performance of the MOS transistor.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

12...基底12. . . Base

14...電晶體區14. . . Transistor region

18...淺溝隔離結構18. . . Shallow trench isolation structure

22...閘極介電層twenty two. . . Gate dielectric layer

24...遮蓋層twenty four. . . Cover layer

26...功函數金屬複合層26. . . Work function metal composite layer

26a...第一功函數金屬層26a. . . First work function metal layer

26b...第二功函數金屬層26b. . . Second work function metal layer

26c...第三功函數金屬層26c. . . Third work function metal layer

28...多晶矽層28. . . Polycrystalline layer

30...遮罩層30. . . Mask layer

32...虛置閘極32. . . Virtual gate

34...輕摻雜源極與汲極34. . . Lightly doped source and bungee

38...氧化矽層38. . . Cerium oxide layer

40...氮化矽層40. . . Tantalum nitride layer

48...源極/汲極區域48. . . Source/drain region

54...氮化矽層54. . . Tantalum nitride layer

56...層間介電層56. . . Interlayer dielectric layer

58...開口58. . . Opening

64...導電層64. . . Conductive layer

66...金屬閘極66. . . Metal gate

126...功函數金屬複合層126. . . Work function metal composite layer

166...金屬閘極166. . . Metal gate

226...功函數金屬複合層226. . . Work function metal composite layer

266...金屬閘極266. . . Metal gate

326...功函數金屬複合層326. . . Work function metal composite layer

326a...第一功函數金屬層326a. . . First work function metal layer

326b...第二功函數金屬層326b. . . Second work function metal layer

366...金屬閘極366. . . Metal gate

第1圖至第6圖為本發明第一較佳實施例製作具有金屬閘極之電晶體的示意圖。1 to 6 are schematic views showing the fabrication of a transistor having a metal gate according to a first preferred embodiment of the present invention.

第7圖為本發明第二較佳實施例製作具有金屬閘極之電晶體的示意圖。Figure 7 is a schematic view showing the fabrication of a transistor having a metal gate in accordance with a second preferred embodiment of the present invention.

第8圖為本發明第三較佳實施例製作具有金屬閘極之電晶體的示意圖。Figure 8 is a schematic view showing the fabrication of a transistor having a metal gate in accordance with a third preferred embodiment of the present invention.

第9圖為本發明第四較佳實施例製作具有金屬閘極之電晶體的示意圖。Figure 9 is a schematic view showing the fabrication of a transistor having a metal gate in accordance with a fourth preferred embodiment of the present invention.

12‧‧‧基底 12‧‧‧Base

14‧‧‧電晶體區 14‧‧‧Optocrystalline area

18‧‧‧淺溝隔離結構 18‧‧‧Shallow trench isolation structure

22‧‧‧閘極介電層 22‧‧‧ gate dielectric layer

24‧‧‧遮蓋層 24‧‧ ‧ cover layer

26a‧‧‧第一功函數金屬層 26a‧‧‧First work function metal layer

26b‧‧‧第二功函數金屬層 26b‧‧‧Second work function metal layer

26c‧‧‧第三功函數金屬層 26c‧‧‧ third work function metal layer

34‧‧‧輕摻雜源極與汲極 34‧‧‧Lightly doped source and drain

38‧‧‧氧化矽層 38‧‧‧Oxide layer

40‧‧‧氮化矽層 40‧‧‧矽 nitride layer

48‧‧‧源極/汲極區域 48‧‧‧Source/bungee area

54‧‧‧氮化矽層 54‧‧‧layer of tantalum nitride

56‧‧‧層間介電層 56‧‧‧Interlayer dielectric layer

64‧‧‧導電層 64‧‧‧ Conductive layer

126‧‧‧功函數金屬複合層 126‧‧‧Work function metal composite layer

166‧‧‧金屬閘極166‧‧‧Metal gate

Claims (20)

一種金屬閘極結構,包括:一閘極介電層;一第一功函數金屬層,設置於該閘極介電層上;以及一第二功函數金屬層,設置於該第一功函數金屬層上;其中,該第一與該第二功函數金屬層本質上均包括(being substantially composed by)一第一元素與一氮元素,且該第一功函數金屬層具有至少一種與該第二功函數金屬層不同之物理性質,此外,該第一功函數金屬層內包含的該氮元素比例高於該第二功函數金屬層內包含的該氮元素比例。 A metal gate structure includes: a gate dielectric layer; a first work function metal layer disposed on the gate dielectric layer; and a second work function metal layer disposed on the first work function metal a layer; wherein the first and second work function metal layers are substantially composed of a first element and a nitrogen element, and the first work function metal layer has at least one and the second The work function metal layer has different physical properties, and further, the proportion of the nitrogen element contained in the first work function metal layer is higher than the ratio of the nitrogen element contained in the second work function metal layer. 如申請專利範圍第1項所述之金屬閘極結構,其中該第一元素為鈦(titanium)。 The metal gate structure of claim 1, wherein the first element is titanium. 如申請專利範圍第1項所述之金屬閘極結構,其中該第一元素為鉭(tantalum)。 The metal gate structure of claim 1, wherein the first element is tantalum. 如申請專利範圍第1項所述之金屬閘極結構,其中該物理性質為分子式。 The metal gate structure according to claim 1, wherein the physical property is a molecular formula. 如申請專利範圍第1項所述之金屬閘極結構,其中該物理性質為密度。 The metal gate structure of claim 1, wherein the physical property is density. 如申請專利範圍第1項所述之金屬閘極結構,其中該物理性質為電阻係數。 The metal gate structure of claim 1, wherein the physical property is a resistivity. 如申請專利範圍第1項所述之金屬閘極結構,其中該物理性質為晶體排列方向。 The metal gate structure according to claim 1, wherein the physical property is a crystal alignment direction. 一種金屬閘極結構,包括:一閘極介電層;以及一功函數金屬層,設置於該閘極介電層上,其中該功函數金屬層本質上包括一第一元素與一氮元素,且該功函數金屬層具有至少一種隨著厚度改變之物理性質,此外,該功函數金屬層內,該氮元素與該第一元素的比值,隨著該厚度增加而逐漸減少。 A metal gate structure includes: a gate dielectric layer; and a work function metal layer disposed on the gate dielectric layer, wherein the work function metal layer essentially comprises a first element and a nitrogen element, And the work function metal layer has at least one physical property that changes with thickness, and further, the ratio of the nitrogen element to the first element in the work function metal layer gradually decreases as the thickness increases. 如申請專利範圍第8項所述之金屬閘極結構,其中該第一元素為鈦。 The metal gate structure of claim 8, wherein the first element is titanium. 如申請專利範圍第8項所述之金屬閘極結構,其中該第一元素為鉭。 The metal gate structure of claim 8, wherein the first element is germanium. 如申請專利範圍第8項所述之金屬閘極結構,其中該物理性質為分子式。 The metal gate structure according to claim 8, wherein the physical property is a molecular formula. 如申請專利範圍第8項所述之金屬閘極結構,其中該物理性質為密度。 The metal gate structure of claim 8, wherein the physical property is density. 如申請專利範圍第8項所述之金屬閘極結構,其中該物理性質為電阻係數。 The metal gate structure of claim 8, wherein the physical property is a resistivity. 如申請專利範圍第8項所述之金屬閘極結構,其中該物理性質為晶體排列方向。 The metal gate structure of claim 8, wherein the physical property is a crystal alignment direction. 一種形成金屬閘極結構之方法,包括:形成一閘極介電層;以及進行一製程以於該閘極介電層上形成一功函數金屬複合層,且於形成該功函數金屬複合層之該製程中改變至少一製程參數,使該功函數金屬層含有一第一元素與一氮元素,且該功函數金屬層的該氮元素/該第一元素比例隨著該厚度增加而逐漸減少。 A method of forming a metal gate structure includes: forming a gate dielectric layer; and performing a process to form a work function metal composite layer on the gate dielectric layer, and forming the work function metal composite layer The process changes the at least one process parameter such that the work function metal layer contains a first element and a nitrogen element, and the nitrogen element/the first element ratio of the work function metal layer gradually decreases as the thickness increases. 如申請專利範圍第15項所述之方法,其中形成該功函數金屬複合層之該製程包括提供一氮氣,且被改變之該製程參數包括該氮氣之一氣體流量。 The method of claim 15, wherein the process of forming the work function metal composite layer comprises providing a nitrogen gas, and the process parameter is changed to include a gas flow rate of the nitrogen gas. 如申請專利範圍第15項所述之方法,其中被改變之該製程參數 包括一製程功率。 The method of claim 15, wherein the process parameter is changed Includes a process power. 如申請專利範圍第15項所述之方法,其中形成該功函數金屬複合層之該製程包括複數個製程階段,該製程參數於各該製程階段中分別維持固定,且該製程參數於相鄰之該等製程階段中彼此不同。 The method of claim 15, wherein the process of forming the work function metal composite layer comprises a plurality of process stages, wherein the process parameters are respectively fixed in each of the process stages, and the process parameters are adjacent to each other. These process stages are different from each other. 如申請專利範圍第15項所述之方法,其中於改變該製程參數之該步驟中包括一抽氣降壓(pumping down)之步驟。 The method of claim 15, wherein the step of changing the process parameter includes a step of pumping down. 如申請專利範圍第15項所述之方法,其中於改變該製程參數之該步驟中包括一關閉動力(power off)之步驟。 The method of claim 15, wherein the step of changing the process parameter includes a step of power off.
TW098142307A 2009-12-10 2009-12-10 Metal gate structure and method of forming the same TWI536560B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW098142307A TWI536560B (en) 2009-12-10 2009-12-10 Metal gate structure and method of forming the same

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW098142307A TWI536560B (en) 2009-12-10 2009-12-10 Metal gate structure and method of forming the same

Publications (2)

Publication Number Publication Date
TW201121043A TW201121043A (en) 2011-06-16
TWI536560B true TWI536560B (en) 2016-06-01

Family

ID=45045409

Family Applications (1)

Application Number Title Priority Date Filing Date
TW098142307A TWI536560B (en) 2009-12-10 2009-12-10 Metal gate structure and method of forming the same

Country Status (1)

Country Link
TW (1) TWI536560B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8614467B2 (en) 2011-04-07 2013-12-24 Nanya Technology Corp. Method of gate work function adjustment and metal gate transistor

Also Published As

Publication number Publication date
TW201121043A (en) 2011-06-16

Similar Documents

Publication Publication Date Title
JP5326274B2 (en) Semiconductor device and manufacturing method of semiconductor device
CN105470200B (en) Semiconductor element with metal grid and manufacturing method thereof
JP5535706B2 (en) Manufacturing method of semiconductor device
TWI464809B (en) Semiconductor devices and fabrication methods thereof
US20070178634A1 (en) Cmos semiconductor devices having dual work function metal gate stacks
US9698269B2 (en) Conformal nitridation of one or more fin-type transistor layers
US8860150B2 (en) Metal gate structure
US8980718B2 (en) PMOS transistors and fabrication method
TWI663656B (en) Semiconductor device having metal gate and fabrication method thereof
US20100244155A1 (en) Maintaining integrity of a high-k gate stack by an offset spacer used to determine an offset of a strain-inducing semiconductor alloy
JP5669752B2 (en) Reducing threshold voltage variation by reducing deposition non-uniformity in transistors with channel semiconductor alloys
US11694901B2 (en) Field-effect transistor and method for manufacturing the same
CN103178012A (en) CMOS devices with metal gates and methods for forming the same
JP2006108355A (en) Semiconductor device and manufacturing method thereof
TWI536560B (en) Metal gate structure and method of forming the same
TWI497716B (en) Semiconductor device having metal gate and manufacturing methd thereof
TWI509702B (en) Metal gate transistor and method for fabricating the same
TWI464786B (en) Method of forming metal gate structure and method of forming metal gate transistor
TWI446456B (en) Metal gate transistor and method for fabricating the same
TWI490949B (en) Metal gate transistor and method for fabricating the same
TWI582839B (en) Structure of metal gate structure and manufacturing method of the same
TWI569333B (en) Method for fabricating semiconductor device
TWI515830B (en) Method for fabricating semiconductor device
TWI509667B (en) Structure of metal gate and fabrication method thereof
JP2009218584A (en) Semiconductor device and its manufacturing method