TWI569333B - Method for fabricating semiconductor device - Google Patents

Method for fabricating semiconductor device Download PDF

Info

Publication number
TWI569333B
TWI569333B TW100136755A TW100136755A TWI569333B TW I569333 B TWI569333 B TW I569333B TW 100136755 A TW100136755 A TW 100136755A TW 100136755 A TW100136755 A TW 100136755A TW I569333 B TWI569333 B TW I569333B
Authority
TW
Taiwan
Prior art keywords
layer
sidewall
semiconductor device
etch stop
substrate
Prior art date
Application number
TW100136755A
Other languages
Chinese (zh)
Other versions
TW201316417A (en
Inventor
張竹君
邱春茂
李秋德
Original Assignee
聯華電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 聯華電子股份有限公司 filed Critical 聯華電子股份有限公司
Priority to TW100136755A priority Critical patent/TWI569333B/en
Publication of TW201316417A publication Critical patent/TW201316417A/en
Application granted granted Critical
Publication of TWI569333B publication Critical patent/TWI569333B/en

Links

Description

一種製作半導體元件的方法Method for fabricating semiconductor components

本發明是關於一種半導體元件及其製作方法,尤指一種金屬閘極(metal-gate)互補式金氧半導體(CMOS)電晶體元件及其製作方法。The present invention relates to a semiconductor device and a method of fabricating the same, and more particularly to a metal-gate complementary metal oxide semiconductor (CMOS) transistor device and a method of fabricating the same.

隨著半導體元件尺寸持續微縮,傳統方法中利用降低閘極介電層,例如降低二氧化矽層厚度,以達到最佳化目的之方法,係面臨到因電子的穿隧效應(tunneling effect)而導致漏電流過大的物理限制。為了有效延展邏輯元件的世代演進,高介電常數(以下簡稱為high-K)材料因具有可有效降低物理極限厚度,並且在相同的等效氧化厚度(equivalent oxide thickness,以下簡稱為EOT)下,有效降低漏電流並達成等效電容以控制通道開關等優點,而被用以取代傳統二氧化矽層或氮氧化矽層作為閘極介電層。As the size of semiconductor components continues to shrink, the conventional method utilizes a tunneling effect that reduces the thickness of the gate dielectric layer, such as reducing the thickness of the yttria layer, for optimization purposes. A physical limitation that causes excessive leakage current. In order to effectively extend the evolution of logic components, high dielectric constant (hereinafter referred to as high-K) materials have an effective reduction in physical limit thickness and are under the same equivalent oxide thickness (EOT). It effectively reduces the leakage current and achieves the equivalent capacitance to control the channel switch. It is used to replace the traditional germanium dioxide layer or the yttria layer as the gate dielectric layer.

而傳統的閘極材料多晶矽則面臨硼穿透(boron penetration)效應,導致元件效能降低等問題;且多晶矽閘極更遭遇難以避免的空乏效應(depletion effect),使得等效的閘極介電層厚度增加、閘極電容值下降,進而導致元件驅動能力的衰退等困境。針對此問題,半導體業界更提出以新的閘極材料,例如利用具有功函數(work function)金屬層的金屬閘極來取代傳統的多晶矽閘極,用以作為匹配High-K閘極介電層的控制電極。However, the conventional gate material polysilicon is faced with boron penetration effect, which leads to problems such as lower component efficiency; and the polysilicon gate encounters an inevitable depletion effect, making the equivalent gate dielectric layer The increase in thickness and the decrease in the gate capacitance value lead to difficulties such as the deterioration of the component driving capability. In response to this problem, the semiconductor industry has proposed to replace the traditional polysilicon gate with a new gate material, such as a metal gate with a work function metal layer, as a matching High-K gate dielectric layer. Control electrode.

然而,即使利用high-K閘極介電層取代傳統二氧化矽或氮氧化矽閘極介電層,並以具有匹配功函數之金屬閘極取代傳統多晶矽閘極,如何持續地增加半導體元件效能及確保其可靠度仍為半導體業者所欲解決的問題。However, how to continuously increase the efficiency of semiconductor components even if the high-k gate dielectric layer is used to replace the conventional germanium dioxide or yttrium oxide gate dielectric layer, and the metal gate with matching work function is substituted for the conventional polysilicon gate. And to ensure that its reliability is still the problem that the semiconductor industry wants to solve.

因此本發明是揭露一種雙功函數金屬閘極CMOS元件的製作方法,以提升現有元件的整體效能。Therefore, the present invention discloses a method for fabricating a dual work function metal gate CMOS device to improve the overall performance of existing components.

本發明較佳實施例是揭露一種製作半導體元件的方法。首先提供一基底,該基底上具有一閘極結構,然後形成一第一遮蓋層於基底表面及閘極結構之側壁。接著形成一第二遮蓋層並覆蓋第一遮蓋層、形成一第三遮蓋層於第二遮蓋層表面並進行一蝕刻製程,去除部分第三遮蓋層、第二遮蓋層及第一遮蓋層以於閘極結構側壁形成一第一側壁子與一第二側壁子。最後形成一接觸洞蝕刻停止層(contact etch stop layer,CESL)於基底表面並覆蓋第二側壁子,且第三遮蓋層與接觸洞蝕刻停止層具有相同沈積條件。A preferred embodiment of the invention discloses a method of fabricating a semiconductor device. First, a substrate is provided having a gate structure thereon, and then a first mask layer is formed on the surface of the substrate and sidewalls of the gate structure. Forming a second covering layer and covering the first covering layer, forming a third covering layer on the surface of the second covering layer, and performing an etching process to remove a portion of the third covering layer, the second covering layer and the first covering layer. The sidewall of the gate structure forms a first sidewall and a second sidewall. Finally, a contact etch stop layer (CESL) is formed on the surface of the substrate and covers the second sidewall, and the third mask layer has the same deposition conditions as the contact etch stop layer.

本發明另一實施例是揭露一種半導體元件,包含一基底;一閘極結構設於基底上;一第一側壁子設於閘極結構之側壁;一第二側壁子設於第一側壁子周圍;一源極/汲極設於第二側壁子兩側之基底中;以及一接觸洞蝕刻停止層設於基底表面並覆蓋閘極結構,且至少部分第二側壁子與接觸洞蝕刻停止層具有相同化學組成及/或物理特性。Another embodiment of the present invention discloses a semiconductor device including a substrate; a gate structure is disposed on the substrate; a first sidewall is disposed on a sidewall of the gate structure; and a second sidewall is disposed around the first sidewall a source/drain is disposed in the substrate on both sides of the second sidewall; and a contact etch stop layer is disposed on the surface of the substrate and covers the gate structure, and at least a portion of the second sidewall and the contact etch stop layer have The same chemical composition and / or physical properties.

請參照第1圖至第6圖,第1圖至第6圖為本發明較佳實施例製作一具有金屬閘極之半導體元件示意圖。在本實施例中,半導體元件較佳為一CMOS電晶體,且本較佳實施例採用後閘極(gate-last)製程搭配前高介電常數介電層(high-K first)製程。如第1圖所示,首先提供一基底100,例如一矽基底或一絕緣層上覆矽(silicon-on-insulator,SOI)基底等。基底100上定義有一第一區域與一第二區域,例如一PMOS區域104與一NMOS區域102,且基底100內形成有複數個用來提供電性絕緣兩個電晶體區的淺溝隔離(shallow trench isolation,STI) 106。Please refer to FIG. 1 to FIG. 6 . FIG. 1 to FIG. 6 are schematic diagrams showing a semiconductor device having a metal gate according to a preferred embodiment of the present invention. In the present embodiment, the semiconductor device is preferably a CMOS transistor, and the preferred embodiment uses a gate-last process in conjunction with a high-k first process. As shown in FIG. 1, a substrate 100 is first provided, such as a germanium substrate or a silicon-on-insulator (SOI) substrate. A first region and a second region are defined on the substrate 100, such as a PMOS region 104 and an NMOS region 102, and a plurality of shallow trench isolations for providing electrical isolation of the two transistor regions are formed in the substrate 100 (shallow Trench isolation, STI) 106.

接著形成一由氧化物、氮化物等之介電材料所構成的介質層(interfacial layer)108在基底100表面,並再依序形成一高介電常數介電層110、一阻障層112、一多晶矽層116以及一硬遮罩118所構成的堆疊薄膜在介質層108上。Then, an interfacial layer 108 made of a dielectric material such as an oxide or a nitride is formed on the surface of the substrate 100, and a high-k dielectric layer 110 and a barrier layer 112 are sequentially formed. A stacked film of a polysilicon layer 116 and a hard mask 118 is on the dielectric layer 108.

其中,高介電常數介電層110可以是一層或多層的結構,其介電常數大致大於20,而本實施例之高介電常數介電層110可包含一金屬氧化物層,例如一稀土金屬氧化物層,且可選自由氧化鉿(hafnium oxide,HfO2)、矽酸鉿氧化合物(hafnium silicon oxide,HfSiO)、矽酸鉿氮氧化合物(hafnium silicon oxynitride,HfSiON)、氧化鋁(aluminum oxide,AlO)、氧化鑭(lanthanum oxide,La2O3)、鋁酸鑭(lanthanum aluminum oxide,LaAlO)、氧化鉭(tantalum oxide,Ta2O3)、氧化鋯(zirconium oxide,ZrO2)、矽酸鋯氧化合物(zirconium silicon oxide,ZrSiO)、鋯酸鉿(hafnium zirconium oxide,HfZrO)、鍶鉍鉭氧化物(strontium bismuth tantalate,SrBi2Ta2O9,SBT)、鋯鈦酸鉛(lead zirconate titanate,PbZrxTi1-xO3,PZT)以及鈦酸鋇鍶(barium strontium titanate,BaxSr1-xTiO3,BST)等所構成的群組。阻障層112則較佳由氮化鈦(TiN)所構成。The high-k dielectric layer 110 may be one or more layers having a dielectric constant of substantially greater than 20. The high-k dielectric layer 110 of the present embodiment may include a metal oxide layer, such as a rare earth. Metal oxide layer, and optionally free hafnium oxide (HfO 2 ), hafnium silicon oxide (HfSiO), hafnium silicon oxynitride (HfSiON), aluminum oxide (aluminum) Oxide, AlO), lanthanum oxide (La 2 O 3 ), lanthanum aluminum oxide (LaAlO), tantalum oxide (Ta 2 O 3 ), zirconium oxide (ZrO 2 ), Zirconium silicon oxide (ZrSiO), hafnium zirconium oxide (HfZrO), strontium bismuth tantalate (SrBi 2 Ta 2 O 9 , SBT), lead zirconate titanate (lead) Zirconate titanate, PbZr x Ti 1-x O 3 , PZT) and a group consisting of barium strontium titanate (BaxSr 1-x TiO 3 , BST). The barrier layer 112 is preferably made of titanium nitride (TiN).

然後如第2圖所示,先利用一圖案化光阻層(圖未示)當作遮罩進行一圖案轉移製程,以單次蝕刻或逐次蝕刻步驟,去除部分的硬遮罩118、多晶矽層116、阻障層112、高介電常數介電層110及介質層108,並剝除圖案化光阻層,以於PMOS區域104及NMOS區域102上分別形成一第一閘極結構120與一第二閘極結構122,當做虛置閘極結構。Then, as shown in FIG. 2, a patterned photoresist layer (not shown) is used as a mask to perform a pattern transfer process, and a part of the hard mask 118 and the polysilicon layer are removed by a single etching or successive etching step. 116, the barrier layer 112, the high-k dielectric layer 110 and the dielectric layer 108, and stripping the patterned photoresist layer to form a first gate structure 120 and a PMOS region 104 and the NMOS region 102, respectively The second gate structure 122 serves as a dummy gate structure.

其中,多晶矽層116是用來做為一犧牲層,其亦可由不具有任何摻質(undoped)的多晶矽材料、具有N+摻質的多晶矽材料所構成或非晶矽材料所構成。硬遮罩118則由二氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)或氮氧化矽(SiON)所構成。The polysilicon layer 116 is used as a sacrificial layer, and may also be composed of a polycrystalline germanium material having no undoped, a polycrystalline germanium material having an N+ dopant, or an amorphous germanium material. The hard mask 118 is composed of cerium oxide (SiO 2 ), cerium nitride (SiN), tantalum carbide (SiC) or cerium oxynitride (SiON).

然後可對PMOS區域104及NMOS區域102分別進行一離子佈植製程,以於第一閘極結構120與一第二閘極結構122兩側的基底100中分別形成一具相對應導電型之輕摻雜汲極128。Then, an ionic implantation process can be performed on the PMOS region 104 and the NMOS region 102 to form a lighter corresponding conductive type in the substrate 100 on both sides of the first gate structure 120 and the second gate structure 122, respectively. Doped with a drain 128.

接著可選擇性於第一閘極結構120與第二閘極結構122的側壁分別形成一偏位側壁子(offset spacer)(圖未示),並對PMOS及/或NMOS進行一選擇性磊晶成長製程,例如先於PMOS區域104偏位側壁子兩側的基底100中先形成一凹槽並填入一磊晶層132。在本實施例中,磊晶層132較佳包含鍺化矽,且可以單層或多層的方式形成;成長磊晶層時可現場(in-situly)摻雜,摻雜可以漸變方式進行(例如,最底層無摻質、第一層淡摻質、第二層較濃摻質、第三層濃摻質、...最頂層無摻質或淡摻質);異質原子(在此例中為鍺原子)的濃度亦可以漸變方式改變,其濃度會視晶格常數及表面特質的考量而作改變,但表面會期望鍺原子濃度較淡或無鍺原子以利後續的矽化物形成。Then, an offset spacer (not shown) is formed on the sidewalls of the first gate structure 120 and the second gate structure 122, and a selective epitaxial PMOS and/or NMOS is selectively performed. In the growth process, for example, a recess is formed in the substrate 100 on both sides of the sidewall of the PMOS region 104, and an epitaxial layer 132 is filled. In this embodiment, the epitaxial layer 132 preferably comprises germanium germanium, and may be formed in a single layer or multiple layers; when the epitaxial layer is grown, it may be doped in-situly, and the doping may be performed in a gradual manner (for example) , the bottom layer has no dopant, the first layer is lightly doped, the second layer is thicker, the third layer is rich, ... the top layer has no dopant or light dopant; the hetero atom (in this case) The concentration of germanium atoms can also be changed in a gradual manner, and the concentration thereof will vary depending on the lattice constant and the surface characteristics. However, the surface may be expected to have a lighter germanium atom concentration or no germanium atoms for subsequent germanium formation.

隨後可先選擇性去除偏位側壁子,然後依序形成一第一遮蓋層162於基底100表面並分別覆蓋第一閘極結構120及第二閘極122之側壁及硬遮罩118頂部、一第二遮蓋層164於第一遮蓋層162表面以及一第三遮蓋層166於第二遮蓋層164表面。Then, the sidewall spacers are selectively removed, and then a first mask layer 162 is formed on the surface of the substrate 100 and covers the sidewalls of the first gate structure 120 and the second gate 122 and the top of the hard mask 118, respectively. The second cover layer 164 is on the surface of the first cover layer 162 and a third cover layer 166 on the surface of the second cover layer 164.

接著如第3圖所示,進行一蝕刻製程去除部分第三遮蓋層166、第二遮蓋層164及第一遮蓋層162,以於第一閘極結構120及第二閘極結構122側壁分別形成一第一側壁子124與第二側壁子126。其中,第一側壁子124包含一約略L型的第一遮蓋層162,第二側壁子126則包含一約略L型的第二遮蓋層164以及蝕刻後的第三遮蓋層166跨在L型第二遮蓋層164上。Then, as shown in FIG. 3, an etching process is performed to remove a portion of the third capping layer 166, the second capping layer 164, and the first capping layer 162 to form sidewalls of the first gate structure 120 and the second gate structure 122, respectively. A first sidewall 124 and a second sidewall 126. The first sidewall 12 includes an approximately L-shaped first capping layer 162, and the second sidewall 126 includes an approximately L-shaped second capping layer 164 and an etched third capping layer 166 spanning the L-shaped portion. Two cover layers 164.

在本實施例中,第一遮蓋層162較佳包含氮化矽,第二遮蓋層164包含氧化矽,而第三遮蓋層166包含氮化矽,且此第三遮蓋層166較佳於PMOS區域104與NMOS區域102分別具有不同的應力。In this embodiment, the first mask layer 162 preferably comprises tantalum nitride, the second mask layer 164 comprises tantalum oxide, and the third mask layer 166 comprises tantalum nitride, and the third mask layer 166 is preferably in the PMOS region. 104 and NMOS region 102 have different stresses, respectively.

隨後如第4圖所示,可對PMOS區域104及NMOS區域102分別進行一離子佈植製程,以於第一側壁子124與第二側壁子126兩側的基底100中分別形成一具相對應導電型之源極/汲極130。Then, as shown in FIG. 4, an ionic implantation process can be performed on the PMOS region 104 and the NMOS region 102, respectively, to form a corresponding one of the first sidewall 124 and the substrate 100 on both sides of the second sidewall 126. Conductive source/drain 130.

本實施例形成源極/汲極130之摻質的離子佈植雖在磊晶層132之後進行,但又可依製程需求於磊晶層132形成前就進行,或者是於成長磊晶層時直接現場(in-situly)摻雜源極/汲極130之摻質。The ion implantation of the dopant forming the source/drain 130 in this embodiment is performed after the epitaxial layer 132, but may be performed before the epitaxial layer 132 is formed according to the process requirements, or when the epitaxial layer is grown. The dopant of the source/drain 130 is doped in-situly.

隨後可進行一金屬矽化物製程,例如先形成一由鈷、鈦、鎳、鉑、鈀、鉬或其組合等所構成的金屬層(圖未示)於基底100上並覆蓋源極/汲極130與磊晶層132,接著利用至少一次的快速升溫退火(rapid thermal anneal,RTP)製程使金屬層與源極/汲極130及磊晶層132反應,以於NMOS區域102及PMOS區域104的基底100及磊晶層132表面分別形成一矽化金屬層134。最後再去除未反應的金屬。Subsequently, a metal telluride process can be performed, for example, forming a metal layer (not shown) composed of cobalt, titanium, nickel, platinum, palladium, molybdenum or a combination thereof on the substrate 100 and covering the source/drain 130 and the epitaxial layer 132, and then reacting the metal layer with the source/drain 130 and the epitaxial layer 132 by using at least one rapid thermal anneal (RTP) process for the NMOS region 102 and the PMOS region 104 A surface of the substrate 100 and the epitaxial layer 132 respectively form a deuterated metal layer 134. Finally, the unreacted metal is removed.

然後形成一接觸洞蝕刻停止層136於基底100表面並覆蓋第一閘極結構120與第二閘極結構122中的第二側壁子126,隨後形成一層間介電層138於基底100表面並覆蓋PMOS區域104及NMOS區域102。在本實施例中,接觸洞蝕刻停止層136較佳由氮化矽所構成,且其可於PMOS區域104與NMOS區域102具有不同的應力,例如施以適當之離子佈值製程或UV等之熱處理,以同時調整PMOS區域104中第二側壁子126之第三遮蓋層166與接觸洞蝕刻停止層136的應力,同時調整NMOS區域102區域中第二側壁子126之第三遮蓋層166與接觸洞蝕刻停止層136的應力,而層間介電層138較佳由氧化矽所構成,且其厚度可介於1500至5000埃之間較佳約3000埃。另外,依據本發明較佳實施例,第三遮蓋層166與接觸洞蝕刻停止層136較佳具有相同的沈積條件,例如在沈積時具有相同的主要沈積步驟的壓力、主要沈積步驟的溫度、前驅物種類、驅入氣體與反應氣體的流量比、及/或偏壓功率(bias power)與射頻功率(RF power)等。兩者不同之處在於沈積的厚度不同,且由於不同厚度,兩者的應力也會略微不同。而由於第三遮蓋層166與接觸洞蝕刻停止層136在沈積時較佳以相同條件進行沈積,後續形成的至少部分第一側壁子124及第二側壁子126便與接觸洞蝕刻停止層136具有相同的化學組成及/或物理特性,例如具有相同的鍵結比例、雜質含量及/或密度。以接觸洞蝕刻停止層常用的氮化矽為例,雜質包含了氫而雜質含量為氫在氮化矽中的原子百分比;鍵結比例例如是Si-N鍵與N-H鍵的比例。第三遮蓋層166與接觸洞蝕刻停止層136較佳地具有相同的鍵結比例或雜質含量或密度,或者第三遮蓋層166與接觸洞蝕刻停止層136具有相同的鍵結比例及雜質含量及密度。A contact etch stop layer 136 is then formed on the surface of the substrate 100 and covers the second sidewall 126 of the first gate structure 120 and the second gate structure 122, and then an interlayer dielectric layer 138 is formed on the surface of the substrate 100 and covered. PMOS region 104 and NMOS region 102. In the present embodiment, the contact hole etch stop layer 136 is preferably made of tantalum nitride, and it can have different stresses in the PMOS region 104 and the NMOS region 102, for example, applying an appropriate ion cloth value process or UV. The heat treatment is performed to simultaneously adjust the stress of the third mask layer 166 of the second sidewall 126 and the contact hole etch stop layer 136 in the PMOS region 104 while adjusting the third mask layer 166 of the second sidewall 126 in the region of the NMOS region 102. The hole etches the stress of the stop layer 136, and the interlayer dielectric layer 138 is preferably composed of ruthenium oxide and may have a thickness of between 1,500 and 5,000 angstroms, preferably about 3,000 angstroms. In addition, in accordance with a preferred embodiment of the present invention, the third masking layer 166 and the contact hole etch stop layer 136 preferably have the same deposition conditions, such as pressure having the same primary deposition step during deposition, temperature of the primary deposition step, precursor The kind of the substance, the flow ratio of the driven gas to the reaction gas, and/or the bias power and the RF power. The difference between the two is that the thickness of the deposit is different, and the stress of the two will be slightly different due to the different thicknesses. Since the third mask layer 166 and the contact hole etch stop layer 136 are deposited under the same conditions during deposition, at least a portion of the first sidewall spacer 124 and the second sidewall spacer 126 formed subsequently have a contact hole etch stop layer 136. The same chemical composition and/or physical properties, for example, have the same bonding ratio, impurity content and/or density. Taking the tantalum nitride commonly used in the contact hole etch stop layer as an example, the impurity contains hydrogen and the impurity content is the atomic percentage of hydrogen in the tantalum nitride; the bonding ratio is, for example, the ratio of the Si-N bond to the N-H bond. The third capping layer 166 and the contact hole etch stop layer 136 preferably have the same bonding ratio or impurity content or density, or the third capping layer 166 has the same bonding ratio and impurity content as the contact hole etch stop layer 136. density.

接著進行一平坦化製程,例如利用一化學機械研磨製程去除部分層間介電層138直到露出接觸洞蝕刻停止層136表面。A planarization process is then performed, such as by removing a portion of the interlayer dielectric layer 138 using a chemical mechanical polishing process until the contact etch stop layer 136 surface is exposed.

然後如第5圖所示,先以蝕刻方式去除部分接觸洞蝕刻停止層136與硬遮罩118,再進行另一蝕刻製程掏空PMOS區域104及NMOS區域102的多晶矽層116,以於各區域分別形成一凹槽140。需注意的是,本實施例雖以同時掏空兩個區域的多晶矽層為例,但又可選擇先掏空其中一個區域的多晶矽層形成凹槽並填入金屬後,再去除另一區域的多晶矽層並填入金屬。Then, as shown in FIG. 5, a portion of the contact hole etch stop layer 136 and the hard mask 118 are removed by etching, and another etching process is performed to vacate the PMOS region 104 and the polysilicon layer 116 of the NMOS region 102 for each region. A groove 140 is formed respectively. It should be noted that, in this embodiment, although the polycrystalline germanium layer of two regions is simultaneously hollowed out, the polycrystalline germanium layer of one of the regions may be first hollowed out to form a groove and filled with metal, and then another region is removed. The polysilicon layer is filled with metal.

隨後如第6圖所示,分別在PMOS區104域及NMOS區域102形成一功函數金屬層144、150及一低阻抗導電層152並填滿凹槽140。Then, as shown in FIG. 6, a work function metal layer 144, 150 and a low-impedance conductive layer 152 are formed in the PMOS region 104 domain and the NMOS region 102, respectively, and fill the recess 140.

接著再進行一或多道平坦化製程一起或分別對NMOS與PMOS進行平坦化,例如利用化學機械研磨製程移除部分低阻抗導電層152與功函數金屬層144、150,以於PMOS區域104及NMOS區域102分別形成一第一金屬閘極154與第二金屬閘極156。需注意的是,本發明係提供一種雙功函數金屬閘極CMOS元件及製作方法,其中PMOS區域104之P型功函數金屬層144與NMOS區域102之N型功函數金屬層150較佳分開製得,此為習知相關技藝者所熟知,在此不多加贅述。另外,上述雖然對於填入凹槽中的材料簡單敘述,但為了因應功函數調整、解決N/P MOS兩者製程整合在一起所生之問題,N/P MOS兩邊的膜層結構可有不同。Then, one or more planarization processes are performed to planarize the NMOS and the PMOS, respectively, for example, using a chemical mechanical polishing process to remove a portion of the low-impedance conductive layer 152 and the work function metal layers 144, 150 for the PMOS region 104 and The NMOS regions 102 respectively form a first metal gate 154 and a second metal gate 156. It should be noted that the present invention provides a dual work function metal gate CMOS device and a fabrication method thereof, wherein the P-type work function metal layer 144 of the PMOS region 104 and the N-type work function metal layer 150 of the NMOS region 102 are preferably separated. This is well known to those skilled in the art and will not be described here. In addition, although the above description is simple for the material filled in the groove, the film structure on both sides of the N/P MOS may be different in order to adjust the work function and solve the problem of the integration of the N/P MOS processes. .

在本實施例中,P型功函數金屬層144為一滿足P型電晶體所需功函數要求的金屬,例如是氮化鈦(titanium nitride,TiN)或碳化鉭(tantalum carbide,TaC)等,但不以上述為限。N型功函數金屬層150為一滿足N型電晶體所需功函數要求的金屬,例如是鋁化鈦(TiAl)、鋁化鋯(ZrAl)、鋁化鎢(WAl)、鋁化鉭(TaAl)或鋁化鉿(HfAl),但不以上述為限。另外,低阻抗導電層152包含鋁(Al)、鈦(Ti)、鉭(Ta)、鎢(W)、鈮(Nb)、鉬(Mo)、銅(Cu)、氮化鈦(TiN)、碳化鈦(TiC)、氮化鉭(TaN)、鈦鎢(Ti/W)或鈦與氮化鈦(Ti/TiN)等複合金屬層料,但不以此為限。In the present embodiment, the P-type work function metal layer 144 is a metal that satisfies the required work function of the P-type transistor, such as titanium nitride (TiN) or tantalum carbide (TaC). But not limited to the above. The N-type work function metal layer 150 is a metal that satisfies the required work function of the N-type transistor, such as titanium aluminide (TiAl), zirconium aluminide (ZrAl), tungsten aluminide (WAl), tantalum aluminide (TaAl). Or aluminum bismuth (HfAl), but not limited to the above. In addition, the low-impedance conductive layer 152 includes aluminum (Al), titanium (Ti), tantalum (Ta), tungsten (W), niobium (Nb), molybdenum (Mo), copper (Cu), titanium nitride (TiN), A composite metal layer such as titanium carbide (TiC), tantalum nitride (TaN), titanium tungsten (Ti/W) or titanium and titanium nitride (Ti/TiN), but is not limited thereto.

需注意的是,上述實施例雖以前高介電常數介電層(high-K first)製程來完成半導體元件的製作,本發明的精神又可應用至後高介電常數介電層(high-k last)製程,此作法也屬本發明所涵蓋的範圍。It should be noted that, in the above embodiment, although the high-k first process is used to complete the fabrication of the semiconductor device, the spirit of the present invention can be applied to the post-high-k dielectric layer (high- k last) Process, which is also within the scope of the present invention.

舉例來說,如第7圖所示,可先在基底100上形成如第3圖所示之虛置閘極結構,其中虛置閘極僅包含一介質層108、一多晶矽層以及一硬遮罩而不具有高介電常數介電層及阻障層。然後依序進行第4圖的製程,包括在虛置閘極周圍形成第一側壁子124及第二側壁子126、於第一側壁子124及第二側壁子126兩側的基底100中形成具相對應導電型之輕摻雜汲極128與源極/汲極區域130、形成一接觸洞蝕刻停止層136與層間介電層138於虛置閘極及基底100表面、以平坦化製程去除部分接觸洞蝕刻停止層136與層間介電層138並掏空虛置閘極中的多晶矽層等。隨後如第7圖所示,先依序形成一高介電常數介電層110與一阻障層112於PMOS區域104及NMOS區域102之凹槽140內,然後依據上述實施例分別形成一N型功函數金屬層150與一P型功函數金屬層144於NMOS區域102及PMOS區域104、形成一低阻抗導電層152於P型功函數金屬層144及N型功函數金屬層150上並填滿凹槽140以及進行另一平坦化製程以於NMOS區域102及PMOS區域104分別形成一金屬閘極154、156。For example, as shown in FIG. 7, a dummy gate structure as shown in FIG. 3 may be formed on the substrate 100, wherein the dummy gate includes only a dielectric layer 108, a polysilicon layer, and a hard mask. The cover does not have a high-k dielectric layer and a barrier layer. Then, the process of FIG. 4 is sequentially performed, including forming a first sidewall portion 124 and a second sidewall spacer 126 around the dummy gate, and forming the substrate in the substrate 100 on both sides of the first sidewall spacer 124 and the second sidewall spacer 126. Corresponding to the light-doped drain 128 and the source/drain region 130 of the conductive type, forming a contact etch stop layer 136 and the interlayer dielectric layer 138 on the surface of the dummy gate and the substrate 100, and removing portions by the planarization process The contact hole etch stop layer 136 and the interlayer dielectric layer 138 are contacted and the polysilicon layer or the like in the dummy gate is hollowed out. Then, as shown in FIG. 7, a high-k dielectric layer 110 and a barrier layer 112 are sequentially formed in the recesses 140 of the PMOS region 104 and the NMOS region 102, and then a N is formed according to the above embodiment. The work function metal layer 150 and a P-type work function metal layer 144 are formed on the NMOS region 102 and the PMOS region 104, forming a low-impedance conductive layer 152 on the P-type work function metal layer 144 and the N-type work function metal layer 150. The full recess 140 and another planarization process are performed to form a metal gate 154, 156 in the NMOS region 102 and the PMOS region 104, respectively.

綜上所述,由於現行製程中完成整個閘極結構與源極/汲極後通常會對第二側壁子進行一道薄化的動作以去除部分第二側壁子中較外圍的氮化矽層而導致氮化矽負載(loading)以及/或矽化金屬層流失等問題,本發明較佳在製作第二側壁子時先依序沉積一由氧化矽所構成的遮蓋層及一由氮化矽所構成且具有應力的接觸洞蝕刻停止層,然後再以蝕刻方式去除部分兩個材料層以形成第二側壁子。由於本發明採用部分接觸洞蝕刻停止層作為第二側壁子的材料,如此便可在省略薄化第二側壁子的情況下大幅降低後續覆蓋在整個基底表面之另一接觸洞蝕刻停止層的整體厚度,並同時改善氮化矽負載與矽化金屬層流失的問題。In summary, since the entire gate structure and the source/drain are completed in the current process, a thinning action is usually performed on the second sidewall to remove the peripheral nitride layer in some of the second sidewalls. The invention is characterized in that the loading of the tantalum nitride and/or the loss of the germanium metal layer is performed. In the invention, the second sidewall is preferably formed by sequentially depositing a cap layer composed of hafnium oxide and a layer of tantalum nitride. The stress contact hole etch stop layer is then etched to remove a portion of the two material layers to form a second sidewall. Since the present invention employs a partial contact hole etch stop layer as the material of the second sidewall, it is possible to greatly reduce the overall etch stop layer of the subsequent contact hole covering the entire substrate surface without thinning the second sidewall. Thickness, and at the same time improve the problem of tantalum nitride load and loss of deuterated metal layer.

以上所述僅為本發明之較佳實施例,凡依本發明申請專利範圍所做之均等變化與修飾,皆應屬本發明之涵蓋範圍。The above are only the preferred embodiments of the present invention, and all changes and modifications made to the scope of the present invention should be within the scope of the present invention.

100...基底100. . . Base

102...NMOS區域102. . . NMOS region

104...PMOS區域104. . . PMOS area

106...淺溝隔離106. . . Shallow trench isolation

108...介質層108. . . Dielectric layer

110...高介電常數介電層110. . . High dielectric constant dielectric layer

112...阻障層112. . . Barrier layer

114...金屬層114. . . Metal layer

116...多晶矽層116. . . Polycrystalline layer

118...硬遮罩118. . . Hard mask

120...第一閘極結構120. . . First gate structure

122...第二閘極結構122. . . Second gate structure

124...第一側壁子124. . . First side wall

126...第二側壁子126. . . Second side wall

128...輕摻雜汲極128. . . Lightly doped bungee

130...源極/汲極130. . . Source/bungee

132...磊晶層132. . . Epitaxial layer

134...矽化金屬層134. . . Deuterated metal layer

136...接觸洞蝕刻停止層136. . . Contact hole etch stop layer

138...層間介電層138. . . Interlayer dielectric layer

140...凹槽140. . . Groove

144...P型功函數金屬層144. . . P type work function metal layer

150...N型功函數金屬層150. . . N-type work function metal layer

152...低阻抗導電層152. . . Low impedance conductive layer

154...第一金屬閘極154. . . First metal gate

156...第二金屬閘極156. . . Second metal gate

162...第一遮蓋層162. . . First cover

164...第二遮蓋層164. . . Second covering layer

166...第三遮蓋層166. . . Third covering layer

第1圖至第7圖為本發明較佳實施例製作一具有金屬閘極之半導體元件示意圖。1 to 7 are schematic views showing a semiconductor device having a metal gate according to a preferred embodiment of the present invention.

100...基底100. . . Base

102...NMOS區域102. . . NMOS region

104...PMOS區域104. . . PMOS area

106...淺溝隔離106. . . Shallow trench isolation

108...介質層108. . . Dielectric layer

110...高介電常數介電層110. . . High dielectric constant dielectric layer

112...阻障層112. . . Barrier layer

124...第一側壁子124. . . First side wall

126...第二側壁子126. . . Second side wall

128...輕摻雜汲極128. . . Lightly doped bungee

130...源極/汲極130. . . Source/bungee

132...磊晶層132. . . Epitaxial layer

134...矽化金屬層134. . . Deuterated metal layer

136...接觸洞蝕刻停止層136. . . Contact hole etch stop layer

138...層間介電層138. . . Interlayer dielectric layer

144...P型功函數金屬層144. . . P type work function metal layer

150...N型功函數金屬層150. . . N-type work function metal layer

152...低阻抗導電層152. . . Low impedance conductive layer

154...第一金屬閘極154. . . First metal gate

156...第二金屬閘極156. . . Second metal gate

162...第一遮蓋層162. . . First cover

164...第二遮蓋層164. . . Second covering layer

166...第三遮蓋層166. . . Third covering layer

Claims (21)

一種製作半導體元件的方法,包含:提供一基底,該基底上具有一閘極結構;形成一第一遮蓋層於該基底表面及該閘極結構之側壁;形成一第二遮蓋層並覆蓋該第一遮蓋層;形成一第三遮蓋層於該第二遮蓋層表面;進行一蝕刻製程,去除部分該第三遮蓋層、該第二遮蓋層及該第一遮蓋層以於該閘極結構側壁形成一第一側壁子與一第二側壁子;以及形成一接觸洞蝕刻停止層(contact etch stop layer,CESL)於該基底表面並覆蓋該第二側壁子,其中該第三遮蓋層與該接觸洞蝕刻停止層是以相同沈積條件形成,具有相同化學組成及/或物理特性,但具有不同厚度,並且都具有應力。 A method of fabricating a semiconductor device, comprising: providing a substrate having a gate structure; forming a first capping layer on the surface of the substrate and sidewalls of the gate structure; forming a second capping layer and covering the first a mask layer; forming a third mask layer on the surface of the second mask layer; performing an etching process to remove portions of the third mask layer, the second mask layer and the first mask layer to form sidewalls of the gate structure a first sidewall and a second sidewall; and a contact etch stop layer (CESL) is formed on the surface of the substrate and covers the second sidewall, wherein the third mask and the contact hole The etch stop layer is formed under the same deposition conditions, has the same chemical composition and/or physical properties, but has different thicknesses, and all have stress. 如申請專利範圍第1項所述之方法,其中該第一遮蓋層包含氮化矽。 The method of claim 1, wherein the first covering layer comprises tantalum nitride. 如申請專利範圍第1項所述之方法,其中該第二遮蓋層包含氧化矽。 The method of claim 1, wherein the second covering layer comprises cerium oxide. 如申請專利範圍第1項所述之方法,其中該第三遮蓋層包含氮化矽。 The method of claim 1, wherein the third covering layer comprises tantalum nitride. 如申請專利範圍第1項所述之方法,其中該閘極結構包含一高介電常數介電層與一多晶矽層。 The method of claim 1, wherein the gate structure comprises a high-k dielectric layer and a polysilicon layer. 如申請專利範圍第1項所述之方法,其中該沈積條件包含前驅物、驅入氣體、壓力及製程功率。 The method of claim 1, wherein the deposition conditions comprise a precursor, a driven gas, a pressure, and a process power. 如申請專利範圍第1項所述之方法,其中形成該第一側壁子與該第二側壁子後包含:形成一源極/汲極於該第二側壁子兩側之該基底;形成一介電層並覆蓋該接觸洞蝕刻停止層;利用一第一平坦化製程去除部分該介電層至顯露出該接觸洞蝕刻停止層;形成一凹槽於該閘極結構中;形成一功函數金屬層於該凹槽內;形成一導電層於該功函數金屬層上並填滿該凹槽;以及進行一第二平坦化製程以形成一金屬閘極。 The method of claim 1, wherein the forming the first sidewall and the second sidewall comprises: forming a source/drain on the substrate on both sides of the second sidewall; forming a dielectric layer An electric layer covers the contact hole etch stop layer; a portion of the dielectric layer is removed by a first planarization process to expose the contact hole etch stop layer; a recess is formed in the gate structure; and a work function metal is formed Forming a layer in the recess; forming a conductive layer on the work function metal layer and filling the recess; and performing a second planarization process to form a metal gate. 一種半導體元件,包含:一基底;一閘極結構設於該基底上;一第一側壁子設於該閘極結構之側壁;一第二側壁子設於該第一側壁子周圍; 一源極/汲極設於該第二側壁子兩側之該基底中;以及一接觸洞蝕刻停止層設於該基底表面並覆蓋該閘極結構,且至少部分該第二側壁子與該接觸洞蝕刻停止層具有相同化學組成及/或物理特性但不同厚度,並且都具有應力。 A semiconductor device comprising: a substrate; a gate structure is disposed on the substrate; a first sidewall is disposed on a sidewall of the gate structure; and a second sidewall is disposed around the first sidewall; a source/drain is disposed in the substrate on both sides of the second sidewall; and a contact etch stop layer is disposed on the surface of the substrate and covering the gate structure, and at least a portion of the second sidewall is in contact with the gate The hole etch stop layer has the same chemical composition and/or physical properties but different thicknesses and has stress. 如申請專利範圍第8項所述之半導體元件,其中該第一側壁子包含氮化矽。 The semiconductor device of claim 8, wherein the first sidewall includes tantalum nitride. 如申請專利範圍第8項所述之半導體元件,其中該第一側壁子係為L型。 The semiconductor device of claim 8, wherein the first sidewall sub-system is L-shaped. 如申請專利範圍第8項所述之半導體元件,其中該第二側壁子包含一L型遮蓋層及一遮蓋層設於該L型遮蓋層上。 The semiconductor device of claim 8, wherein the second sidewall includes an L-type cover layer and a cover layer is disposed on the L-type cover layer. 如申請專利範圍第11項所述之半導體元件,其中該L型遮蓋層包含氧化矽。 The semiconductor device of claim 11, wherein the L-type mask layer comprises ruthenium oxide. 如申請專利範圍第11項所述之半導體元件,其中該遮蓋層包含氮化矽。 The semiconductor device of claim 11, wherein the mask layer comprises tantalum nitride. 如申請專利範圍第11項所述之半導體元件,其中該遮蓋層與該接觸洞蝕刻停止層具有相同化學組成及/或物理特性。 The semiconductor device of claim 11, wherein the masking layer has the same chemical composition and/or physical properties as the contact hole etch stop layer. 如申請專利範圍第8項所述之半導體元件,其中該接觸洞蝕刻停止層包含氮化矽。 The semiconductor device of claim 8, wherein the contact hole etch stop layer comprises tantalum nitride. 如申請專利範圍第8項所述之半導體元件,其中該閘極結構包含一高介電常數介電層、一功函數金屬層以及一導電層。 The semiconductor device of claim 8, wherein the gate structure comprises a high-k dielectric layer, a work function metal layer, and a conductive layer. 如申請專利範圍第16項所述之半導體元件,其中該高介電常數介電層係為U型。 The semiconductor device of claim 16, wherein the high-k dielectric layer is U-shaped. 如申請專利範圍第16項所述之半導體元件,其中該高介電常數介電層係為一字型。 The semiconductor device of claim 16, wherein the high-k dielectric layer is in a font shape. 如申請專利範圍第8項所述之半導體元件,其中至少部分該第二側壁子與該接觸洞蝕刻停止層具有相同鍵結比例。 The semiconductor device of claim 8, wherein at least a portion of the second sidewall spacer has the same bonding ratio as the contact hole etch stop layer. 如申請專利範圍第8項所述之半導體元件,其中至少部分該第二側壁子與該接觸洞蝕刻停止層具有相同雜質含量。 The semiconductor device of claim 8, wherein at least a portion of the second sidewall has the same impurity content as the contact hole etch stop layer. 如申請專利範圍第8項所述之半導體元件,其中至少部分該第二側壁子與該接觸洞蝕刻停止層具有相同密度。 The semiconductor device of claim 8, wherein at least a portion of the second sidewalls have the same density as the contact hole etch stop layer.
TW100136755A 2011-10-11 2011-10-11 Method for fabricating semiconductor device TWI569333B (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
TW100136755A TWI569333B (en) 2011-10-11 2011-10-11 Method for fabricating semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
TW100136755A TWI569333B (en) 2011-10-11 2011-10-11 Method for fabricating semiconductor device

Publications (2)

Publication Number Publication Date
TW201316417A TW201316417A (en) 2013-04-16
TWI569333B true TWI569333B (en) 2017-02-01

Family

ID=48803116

Family Applications (1)

Application Number Title Priority Date Filing Date
TW100136755A TWI569333B (en) 2011-10-11 2011-10-11 Method for fabricating semiconductor device

Country Status (1)

Country Link
TW (1) TWI569333B (en)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20230178633A1 (en) * 2021-12-08 2023-06-08 Taiwan Semiconductor Manufacturing Company, Ltd. Self-filling spacer structure

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070128786A1 (en) * 2003-11-25 2007-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture therefor
US20090001479A1 (en) * 2007-06-29 2009-01-01 Maciej Wiatr Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same
US20100052074A1 (en) * 2008-08-26 2010-03-04 Chien-Ting Lin Metal gate transistor and method for fabricating the same
US20100289083A1 (en) * 2009-05-15 2010-11-18 Markus Lenski Multi-step deposition of a spacer material for reducing void formation in a dielectric material of a contact level of a semiconductor device
US20110101427A1 (en) * 2009-10-30 2011-05-05 Thilo Scheiper Transistor including a high-k metal gate electrode structure formed prior to drain/source regions on the basis of a superior implantation masking effect

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070128786A1 (en) * 2003-11-25 2007-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having high drive current and method of manufacture therefor
US20090001479A1 (en) * 2007-06-29 2009-01-01 Maciej Wiatr Transistor having reduced gate resistance and enhanced stress transfer efficiency and method of forming the same
US20100052074A1 (en) * 2008-08-26 2010-03-04 Chien-Ting Lin Metal gate transistor and method for fabricating the same
US20100289083A1 (en) * 2009-05-15 2010-11-18 Markus Lenski Multi-step deposition of a spacer material for reducing void formation in a dielectric material of a contact level of a semiconductor device
US20110101427A1 (en) * 2009-10-30 2011-05-05 Thilo Scheiper Transistor including a high-k metal gate electrode structure formed prior to drain/source regions on the basis of a superior implantation masking effect

Also Published As

Publication number Publication date
TW201316417A (en) 2013-04-16

Similar Documents

Publication Publication Date Title
US9875901B2 (en) Manufacturing method of metal oxide semiconductor transistor
US9825144B2 (en) Semiconductor device having metal gate structure
US9142649B2 (en) Semiconductor structure with metal gate and method of fabricating the same
US8669618B2 (en) Manufacturing method for semiconductor device having metal gate
US8890218B2 (en) Semiconductor device
US8673758B2 (en) Structure of metal gate and fabrication method thereof
US8853041B2 (en) Method for fabricating semiconductor device
US9105623B2 (en) Semiconductor device having metal gate and manufacturing method thereof
CN106920839B (en) Semiconductor element and manufacturing method thereof
US20160126139A1 (en) Semiconductor device and method for fabricating the same
US11545560B2 (en) Semiconductor device and method for fabricating the same
CN108538837A (en) Semiconductor devices and forming method thereof
US20140113425A1 (en) Method of fabricating semiconductor device
CN102856256B (en) Semiconductor element and preparation method thereof
TWI569333B (en) Method for fabricating semiconductor device
TWI515830B (en) Method for fabricating semiconductor device
TWI509667B (en) Structure of metal gate and fabrication method thereof
TWI536567B (en) Metal oxide semiconductor transistor and manufacturing method thereof
TWI582839B (en) Structure of metal gate structure and manufacturing method of the same
TWI552209B (en) Method of fabricating semiconductor device
TWI517303B (en) Semiconductor device and method for fabricating the same
TWI521642B (en) Semiconductor device and method for fabricating the same
TWI505333B (en) Method for fabricating semiconductor device
TWI517219B (en) Method for making transistor having metal gate
TW201310540A (en) Manufacturing method for semiconductor device having metal gate