KR100899565B1 - Method of forming dual metal gate for semiconductor device - Google Patents

Method of forming dual metal gate for semiconductor device Download PDF

Info

Publication number
KR100899565B1
KR100899565B1 KR1020020064149A KR20020064149A KR100899565B1 KR 100899565 B1 KR100899565 B1 KR 100899565B1 KR 1020020064149 A KR1020020064149 A KR 1020020064149A KR 20020064149 A KR20020064149 A KR 20020064149A KR 100899565 B1 KR100899565 B1 KR 100899565B1
Authority
KR
South Korea
Prior art keywords
film
metal
forming
gate
metal film
Prior art date
Application number
KR1020020064149A
Other languages
Korean (ko)
Other versions
KR20040034087A (en
Inventor
차태호
Original Assignee
주식회사 하이닉스반도체
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 하이닉스반도체 filed Critical 주식회사 하이닉스반도체
Priority to KR1020020064149A priority Critical patent/KR100899565B1/en
Publication of KR20040034087A publication Critical patent/KR20040034087A/en
Application granted granted Critical
Publication of KR100899565B1 publication Critical patent/KR100899565B1/en

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors

Abstract

본 발명은 게이트의 형성 공정을 용이하게 수행함과 동시에 PMOS와 NMOS에서 최적의 일함수값을 각각 확보할 수 있는 반도체 소자의 듀얼 금속 게이트 형성방법을 제공한다.The present invention provides a method of forming a dual metal gate of a semiconductor device that can easily perform a gate forming process and secure optimum work function values in PMOS and NMOS, respectively.

본 발명은 제 1 도전형의 제 1 MOS 영역과, 제 2 도전형의 제 2 MOS 영역이 정의된 반도체 기판 상에 게이트 절연막을 형성하는 단계; 제 1 MOS 영역의 게이트 절연막 상에 제 1 MOS의 일함수값을 조절하기 위한 제 1 금속막 패턴을 형성하는 단계; 제 1 금속막 패턴을 덮도록 상기 기판 전면 상에 제 2 MOS의 일함수값을 조절하기 위한 제 2 금속막을 형성하는 단계; 제 2 금속막 상부에 저저항의 제 3 금속막을 형성하는 단계; 제 1 및 제 2 MOS 영역의 제 3 금속막 상에 제 1 및 제 2 하드 마스크를 형성하는 단계; 및 하드 마스크를 이용하여 하부의 금속막들을 식각하여 제 1 MOS 영역에 제 2 및 제 3 금속막으로 이루어진 제 1 게이트를 형성함과 동시에 제 2 MOS 영역에 제 1 내지 제 3 금속막으로 이루어진 제 2 게이트를 형성하는 단계를 포함하는 반도체 소자의 듀얼 금속 게이트 형성방법에 의해 달성될 수 있다.The invention provides a method of forming a gate insulating film on a semiconductor substrate in which a first MOS region of a first conductivity type and a second MOS region of a second conductivity type are defined; Forming a first metal film pattern on the gate insulating film in the first MOS region to adjust a work function value of the first MOS; Forming a second metal film for adjusting a work function value of a second MOS on the entire surface of the substrate to cover the first metal film pattern; Forming a low resistance third metal film on the second metal film; Forming first and second hard masks on the third metal film of the first and second MOS regions; And etching the lower metal layers using a hard mask to form a first gate formed of the second and third metal layers in the first MOS region and simultaneously forming first to third metal layers in the second MOS region. It can be achieved by a method of forming a dual metal gate of a semiconductor device comprising the step of forming two gates.

일함수, 금속 게이트, 원자층증착, Ta, Mo, WWork Function, Metal Gate, Atomic Layer Deposition, Ta, Mo, W

Description

반도체 소자의 듀얼 금속 게이트 형성방법{METHOD OF FORMING DUAL METAL GATE FOR SEMICONDUCTOR DEVICE} METHOOD OF FORMING DUAL METAL GATE FOR SEMICONDUCTOR DEVICE             

도 1a 내지 도 1e는 본 발명의 일 실시예에 따른 반도체 소자의 듀얼 금속 게이트 형성방법을 설명하기 위한 단면도.1A to 1E are cross-sectional views illustrating a method of forming a dual metal gate of a semiconductor device according to an embodiment of the present invention.

도 2a 및 도 2b는 Ta-W 및 Mo-W의 각각의 이원계상태도.2A and 2B are binary system diagrams of Ta-W and Mo-W, respectively.

도 3a 내지 도 3f는 본 발명의 다른 실시예에 따른 반도체 소자의 듀얼 금속 게이트 형성방법을 설명하기 위한 단면도.3A to 3F are cross-sectional views illustrating a method of forming a dual metal gate of a semiconductor device in accordance with another embodiment of the present invention.

※도면의 주요부분에 대한 부호의 설명※ Explanation of symbols for main parts of drawing

10, 20 : 반도체 기판 11, 21 ; 필드절연막10, 20: semiconductor substrates 11, 21; Field insulation film

12A, 22A : NMOS 영역 12B, 22B : PMOS 영역12A, 22A: NMOS region 12B, 22B: PMOS region

13, 30, 33 : 게이트 절연막 13, 30, 33: gate insulating film

14 : 제 1 금속막 패턴 15 : 제 2 금속막14: first metal film pattern 15: second metal film

16 : 제 3 금속막 17A, 17B : 하드마스크16: third metal film 17A, 17B: hard mask

18, 25 : 스페이서 26A, 26B : 접합영역18, 25: spacer 26A, 26B: junction area

27 : 층간절연막 28 : 마스크 패턴27 interlayer insulating film 28 mask pattern

29, 32 : 홀 100A/31, 100B/34 : 게이트 29, 32: hole 100A / 31, 100B / 34: gate                 

200A, 200B : 더미패턴
200A, 200B: Dummy pattern

본 발명은 반도체 소자의 게이트 형성방법에 관한 것으로, 특히 PMOS 및 NMOS에서 최적의 일함수값을 확보할 수 있는 반도체 소자의 듀얼 금속 게이트 형성방법에 관한 것이다.The present invention relates to a method of forming a gate of a semiconductor device, and more particularly to a method of forming a dual metal gate of a semiconductor device capable of securing an optimal work function value in PMOS and NMOS.

반도체 소자의 고집적화에 따른 디자인룰의 감소에 의해 폴리실리콘막을 이용한 게이트에서는 더 이상 미세선폭 상에서 요구되는 저저항값을 구현할 수 없을 뿐만 아니라, 폴리실리콘막의 공핍현상(depletion)에 의해 게이트 절연막의 유효두께 증가, p+ 또는 n+ 폴리실리콘 게이트로부터 기판으로의 도펀트 침투현상 및 도펀트 분포 변동에 의한 문턱전압(threshold voltage; Vth) 변화 등의 문제가 발생한다.Due to the reduction of design rules due to the high integration of semiconductor devices, the gate using the polysilicon film can no longer realize the low resistance required on the fine line width, and the effective thickness of the gate insulating film due to the depletion of the polysilicon film. Problems such as increase, dopant penetration from the p + or n + polysilicon gate to the substrate, and a change in threshold voltage (Vth) due to variations in the dopant distribution occur.

따라서, 최근에는 고집적화에 대응하는 저저항값을 확보함과 동시에 게이트의 공핍현상을 최소화하기 위하여, 폴리실리콘막 대신 단일 금속막을 이용하여 게이트를 제조하는 방법에 대한 많은 연구가 이루어지고 있다. 이러한 금속 게이트의 경우에는 근본적으로 도펀트를 사용하지 않기 때문에 도펀트에 의한 문제가 발생하지 않고, 금속막으로서 일함수가 실리콘의 중간 밴드갭에 위치하는 금속막을 사용함으로써 NMOS 트랜지스터 및 PMOS 트랜지스터에서 대칭적으로 문턱전압을 형 성할 수 있다. 또한, 금속 게이트의 물질로서는 W, WN, Ti, TiN, Mo, MoN, Ta, TaN, Ti3Al 및 Ti3AlN 등을 사용할 수 있는데, 이중 TiN 또는 WN을 중심으로 활발한 연구가 진행되고 있다.Therefore, in recent years, in order to secure a low resistance value corresponding to high integration and minimize the depletion of the gate, many studies have been made on a method of manufacturing a gate using a single metal film instead of a polysilicon film. In the case of such a metal gate, since the dopant is not fundamentally used, there is no problem caused by the dopant. As a metal film, a metal film having a work function located in the middle band gap of silicon is used to symmetrically in the NMOS transistor and the PMOS transistor. Threshold voltage can be formed. In addition, W, WN, Ti, TiN, Mo, MoN, Ta, TaN, Ti3Al, Ti3AlN and the like can be used as the material of the metal gate, and active research is being conducted mainly on TiN or WN.

그러나, TiN 또는 WN의 단일 금속을 이용한 게이트의 일함수(work function) 값이 4.75 내지 4.85eV 정도여서 미드갭(mid-gap) 일함수에서 밸런스밴드(valence band) 쪽으로 가깝게 일함수를 형성하기 때문에, CMOS 소자를 제조하는 경우, 표면채널 PMOS의 경우에는 일함수가 어느 정도 적합한 수준이지만, NMOS의 경우 예컨대 채널 도핑을 2 내지 5 ×1017/㎤ 정도로 할 경우 Vth가 0.8내지 1.2V 정도로 높게 나타나므로, 0.3 내지 0.6V 정도의 Vth가 요구되는 저전압 또는 저전력의 특성을 갖는 고성능 소자 제조에 적합하지 못하다.However, since the work function of the gate using a single metal of TiN or WN is about 4.75 to 4.85 eV, the work function is formed close to the balance band in the mid-gap work function. In the case of manufacturing CMOS devices, the work function is somewhat suitable for surface channel PMOS, but for NMOS, for example, when the channel doping is about 2 to 5 x 10 17 / cm 3, Vth is as high as 0.8 to 1.2V. Therefore, the Vth of about 0.3 to 0.6V is not suitable for manufacturing high performance devices having low voltage or low power characteristics.

따라서, NMOS와 PMOS에서 동시에 0.3 내지 0.6V 정도의 낮은 Vth를 얻기 위해서는, 서로 다른 일함수값, 예컨대 NMOS에서는 약 4.2 내지 4.4eV의 일함수값을 가지고 PMOS에서는 약 4.8 내지 5.1eV 정도의 일함수값을 가지는 듀얼 금속 게이트를 형성하는 것이 바람직하다. 이때, 듀얼 금속 게이트의 금속막으로서 일함수가 NMOS와 PMOS용으로 가능한 동종의 물질을 적용하는 것이 식각 및 공정 단순화 측면에서 유리하나, 동종의 물질로서 구성성분이나 박막의 배향성의 조절로 일함수가 0.7 내지 1.0eV 이상 차이가 나는 경우는 현재까지 극히 드문 실정이므로 동종의 물질로 듀얼 금속 게이트를 형성하는 것은 불가능하다. 따라서, 종래에는 CMOS 소자 제조시 일함수가 다른 이종의 금속막을 NMOS 및 PMOS 각각에 적용하여 듀얼 금속 게이트를 형성하는 방법을 적용하고 있다. 그러나, 이 경우에는 제 1 금속막으 로 이루어진 일 측 게이트의 형성 후 제 2 금속막으로 이루어진 다른 측 게이트 형성시, 먼저 형성된 일 측 게이트에 의해 발생된 단차로 인하여 최적의 포토리소그라피 및 식각공정을 수행하는데 어려움이 있다.
Therefore, in order to obtain a low Vth of 0.3 to 0.6V at the same time in the NMOS and the PMOS, different work function values, for example, a work function of about 4.2 to 4.4 eV in the NMOS and about 4.8 to 5.1 eV in the PMOS, are obtained. It is desirable to form a dual metal gate having a value. In this case, it is advantageous to apply the same kind of material that can be used for NMOS and PMOS as the metal film of the dual metal gate in terms of etching and process simplification.However, as the same kind of material, the work function is controlled by controlling the orientation of components or thin films. Since a difference of 0.7 to 1.0 eV or more is extremely rare to date, it is impossible to form a dual metal gate using the same material. Therefore, in the related art, a method of forming a dual metal gate by applying heterogeneous metal films having different work functions to each of the NMOS and the PMOS is fabricated in a CMOS device. However, in this case, when the gate of one side made of the first metal film is formed and the gate of the other side made of the second metal film is formed, an optimal photolithography and etching process is performed due to the step generated by the first gate formed first. There is a difficulty.

본 발명은 상기와 같은 종래기술의 문제점을 해결하기 위하여 제안된 것으로, 먼저 형성된 일 측 게이트에 의한 단차를 최소화하여 다른 측 게이트의 형성 공정을 용이하게 수행함과 동시에 PMOS와 NMOS에서 최적의 일함수값을 각각 확보할 수 있는 반도체 소자의 듀얼 금속 게이트 형성방법을 제공하는데 그 목적이 있다.
The present invention has been proposed to solve the problems of the prior art as described above, by minimizing the step difference caused by the first side gate formed first to easily perform the process of forming the other side gate and at the same time optimal work function value in the PMOS and NMOS It is an object of the present invention to provide a method for forming a dual metal gate of a semiconductor device capable of securing each of them.

상기의 기술적 과제를 달성하기 위한 본 발명의 일 측면에 따르면, 상기의 본 발명의 목적은 제 1 도전형의 제 1 MOS 영역과, 제 2 도전형의 제 2 MOS 영역이 정의된 반도체 기판 상에 게이트 절연막을 형성하는 단계; 제 1 MOS 영역의 게이트 절연막 상에 제 1 MOS의 일함수값을 조절하기 위한 제 1 금속막 패턴을 형성하는 단계; 제 1 금속막 패턴을 덮도록 상기 기판 전면 상에 제 2 MOS의 일함수값을 조절하기 위한 제 2 금속막을 형성하는 단계; 제 2 금속막 상부에 저저항의 제 3 금속막을 형성하는 단계; 제 1 및 제 2 MOS 영역의 제 3 금속막 상에 제 1 및 제 2 하드 마스크를 형성하는 단계; 및 하드 마스크를 이용하여 하부의 금속막들을 식각하여 제 1 MOS 영역에 제 2 및 제 3 금속막으로 이루어진 제 1 게이트를 형성함과 동시에 제 2 MOS 영역에 제 1 내지 제 3 금속막으로 이루어진 제 2 게이트를 형성하는 단계를 포함하는 반도체 소자의 듀얼 금속 게이트 형성방법에 의해 달성될 수 있다.According to an aspect of the present invention for achieving the above technical problem, an object of the present invention described above is a semiconductor substrate on which a first MOS region of a first conductivity type and a second MOS region of a second conductivity type are defined. Forming a gate insulating film; Forming a first metal film pattern on the gate insulating film in the first MOS region to adjust a work function value of the first MOS; Forming a second metal film for adjusting a work function value of a second MOS on the entire surface of the substrate to cover the first metal film pattern; Forming a low resistance third metal film on the second metal film; Forming first and second hard masks on the third metal film of the first and second MOS regions; And etching the lower metal layers using a hard mask to form a first gate formed of the second and third metal layers in the first MOS region and simultaneously forming first to third metal layers in the second MOS region. It can be achieved by a method of forming a dual metal gate of a semiconductor device comprising the step of forming two gates.

여기서, 제 1 금속막은 WTax막 또는 WTaxNy막으로 형성하고, 제 2 금속막은 WMox막 또는 WMoxNy막으로 형성하거나, 제 1 금속막은 WMox막 또는 WMoxNy막으로 형성하고, 제 2 금속막은 WTax막 또는 WTaxNy막으로 형성한다. 또한, 제 1 및 제 2 금속막은 단원자증착 공정으로 5 내지 500Å의 두께로 형성하고, 제 1 및 제 2 금속막의 조성 x 및 조성 y의 범위는 0.01 내지 0.99로 조절하며, 단원자증착 공정은 50 내지 650℃의 온도 및 0.05 내지 3 Torr의 기압 조건하에서 수행한다.Here, the first metal film is formed of a WTax film or a WTaxNy film, the second metal film is formed of a WMox film or a WMoxNy film, the first metal film is formed of a WMox film or a WMoxNy film, and the second metal film is a WTax film or a WTaxNy film. To form. In addition, the first and the second metal film is formed in a thickness of 5 to 500 kPa by the monoatomic deposition process, the composition x and the composition y range of the first and second metal film is adjusted to 0.01 to 0.99, the monoatomic deposition process is It is carried out under a temperature of 50 to 650 ℃ and atmospheric pressure of 0.05 to 3 Torr.

또한, 제 3 금속막은 W막이나 Ta막으로 형성하고, 제 2 금속막과 제 3 금속막 사이에 배리어막으로서 TiN막, TaN막, TiAlN막, TaSiN 중 선택되는 하나의 막을 형성한다.The third metal film is formed of a W film or a Ta film, and a film selected from a TiN film, a TaN film, a TiAlN film, and a TaSiN is formed as a barrier film between the second metal film and the third metal film.

삭제delete

삭제delete

이하, 본 발명이 속한 기술분야에서 통상의 지식을 가진 자가 본 발명을 보다 용이하게 실시할 수 있도록 하기 위하여 본 발명의 바람직한 실시예를 소개하기로 한다.Hereinafter, preferred embodiments of the present invention will be introduced in order to enable those skilled in the art to more easily carry out the present invention.

도 1a 내지 도 1e는 본 발명의 일 실시예에 따른 반도체 소자의 듀얼 금속 게이트 형성방법을 설명하기 위한 단면도이다.1A to 1E are cross-sectional views illustrating a method of forming a dual metal gate of a semiconductor device according to an embodiment of the present invention.

도 1a를 참조하면, 반도체 기판(10) 상에 필드 절연막(11)을 형성하고, 마스크 및 이온주입공정을 이용하여 기판(10) 내에 P웰의 NMOS 영역(12A)과 N웰의 PMOS 영역(12B)을 형성한다. 그 다음, 기판(10) 상에 게이트 절연막(11)을 형성하고, 게이트 절연막(11) 상에 NMOS 게이트의 일함수값을 4.0 내지 4.4eV로 조절하기 위하여 제 1 금속막을 증착하고 패터닝하여 NMOS 영역(12A) 상에만 제 1 금속막 패턴(14)을 형성한다. 제 1 금속막은 4.2 내지 4.4eV의 일함수값을 가지는 금속막, 바람직하게 Ta를 함유한 텅스텐막으로서, 더욱 바람직하게는 WTax막 또는 WTaxNy막을 이용하여 단원자증착(Atomic Layer deposition; ALD) 공정으로 5 내지 500Å의 두께로 형성한다. 이때, 조성 x 및 조성 y의 범위는 0.01 내지 0.99로 조절하는 것이 바람직하며, W의 전구체(precursor)로는 WF6, W(CO)6, Cp2WH2(Cp = C5H5) 중 선택되는 하나를 이용하고, Ta의 전구체로는 TaCl4, Ta(OC2H5)4, TDMAT, TEDAT 중 선택되는 하나를 이용한다. 또한, ALD 공정은 50 내지 650℃의 온도 및 0.05 내지 3 Torr의 기압 조건하에서 수행하며, ALD 공정 대신 상기 전구체를 이용한 화학기상증착(Chemical Vapor Deposition; CVD) 또는 어드밴스드(advanced) CVD 공정으로 제 1 금속막을 형성할 수도 있다.Referring to FIG. 1A, a field insulating film 11 is formed on a semiconductor substrate 10, and an NMOS region 12A of a P well and a PMOS region of an N well are formed in the substrate 10 using a mask and an ion implantation process. 12B). Next, a gate insulating film 11 is formed on the substrate 10, and a first metal film is deposited and patterned on the gate insulating film 11 to adjust the work function value of the NMOS gate to 4.0 to 4.4 eV. The first metal film pattern 14 is formed only on the 12A. The first metal film is a metal film having a work function value of 4.2 to 4.4 eV, preferably a tungsten film containing Ta, more preferably in an atomic layer deposition (ALD) process using a WTax film or a WTaxNy film. It is formed to a thickness of 5 to 500 kPa. At this time, the composition x and the range of the composition y is preferably adjusted to 0.01 to 0.99, as a precursor of W (WF6, W (CO) 6, Cp2WH2 (Cp = C5H5) using one selected from Ta, Precursors of TaCl4, Ta (OC2H5) 4, TDMAT, TEDAT is used as the precursor. In addition, the ALD process is carried out at a temperature of 50 to 650 ℃ and atmospheric pressure conditions of 0.05 to 3 Torr, and the chemical vapor deposition (CVD) or advanced CVD process using the precursor instead of the ALD process is the first A metal film can also be formed.

도 1b를 참조하면, 제 1 금속막 패턴(14)을 덮도록 기판 전면 상에 PMOS 게이트의 일함수값을 4.7 내지 5.2eV로 조절하기 위하여 제 2 금속막(15)을 형성한다. 제 2 금속막(15)은 4.7 내지 4.9eV의 일함수값을 가지는 금속막, 바람직하게 Mo를 함유한 텅스텐막으로서, 더욱 바람직하게는 WMox막 또는 WMoxNy막을 이용하여 ALD 공정으로 5 내지 500Å의 두께로 형성한다. 이때, 조성 x 및 조성 y의 범위는 0.01 내지 0.99로 조절하는 것이 바람직하며, W의 전구체로는 WF6, W(CO)6, Cp2WH2(Cp = C5H5) 중 선택되는 하나를 이용하고, Mo의 전구체로는 Mo(acac)를 이 용한다. 또한, ALD 공정은 제 1 금속막과 마찬가지로 50 내지 650℃의 온도 및 0.05 내지 3 Torr의 기압 조건하에서 수행하며, ALD 공정 대신 상기 전구체를 이용한 CVD 또는 어드밴스드 CVD 공정으로 제 2 금속막(15)을 형성할 수도 있다..Referring to FIG. 1B, the second metal film 15 is formed on the entire surface of the substrate to cover the first metal film pattern 14 so as to adjust the work function value of the PMOS gate to 4.7 to 5.2 eV. The second metal film 15 is a metal film having a work function value of 4.7 to 4.9 eV, preferably a tungsten film containing Mo. More preferably, the second metal film 15 has a thickness of 5 to 500 kPa in an ALD process using a WMox film or a WMoxNy film. To form. At this time, the range of the composition x and the composition y is preferably adjusted to 0.01 to 0.99, as a precursor of W using one selected from WF6, W (CO) 6, Cp2WH2 (Cp = C5H5), Mo precursor The furnace uses Mo (acac). In addition, the ALD process is performed at a temperature of 50 to 650 ° C. and an atmospheric pressure condition of 0.05 to 3 Torr like the first metal film, and the second metal film 15 is subjected to CVD or advanced CVD process using the precursor instead of the ALD process. Can also be formed.

여기서, 제 1 및 제 2 금속막(14, 15)으로서 Ta 및 Mo를 함유하는 금속막을 사용하는 이유는 Ta 및 Mo와 같은 제 3 원소를 W 또는 WNy에 첨가할 때에 박막 내 첨가원소의 높은 고용도(solubility)를 확보하기 위함이다. 즉, 도 2a 및 도 2b는 Ta-W 및 Mo-W의 이원계상태도(binary phase diagram)를 각각 나타내는 도면으로서, 도 2a 및 도 2b에 나타낸 바와 같이, W에 Ta 또는 Mo가 첨가될 때에 첨가되는 물질의 모든 부분(%)에서 완전고용체(complete solid solution)를 이루며, 이러한 완전고용된 Ta 및 Mo가 박막 전체에 물리적 및 전기적 특성을 균일하게 함으로써, 단원자 증착후 이어지는 후속 어닐링 공정에서 열적으로 안정한 특성을 얻을 수 있다. 또한, Ta 및 Mo를 W 또는 WNy에 첨가하는 이유는 W의 비저항이 낮을 뿐만 아니라 W이 4.5 내지 4.6eV의 일함수값을 갖기 때문이다. 또한, W에 첨가하는 원소로서 Ta 및 Mo 이외에 NMOS 게이트에는 4.1 내지 4.3eV 정도의 낮은 일함수값을 갖는 Nb 또는 Ti 등을 사용할 수 있고, PMOS 게이트에서는 5.0 eV 내외의 높은 일함수값을 갖는 Ni 또는 Pt 등을 사용할 수 있으나, 후속 어닐링 시의 열적 안정성 및 고용도 측면에서 Ta 나 Mo에 비해 우수하진 못하다.Here, the reason for using a metal film containing Ta and Mo as the first and second metal films 14 and 15 is that the high solid solution of the additive element in the thin film is added when a third element such as Ta and Mo is added to W or WNy. This is to secure solubility. 2A and 2B are diagrams showing binary phase diagrams of Ta-W and Mo-W, respectively, and are added when Ta or Mo is added to W, as shown in FIGS. 2A and 2B. A complete solid solution in all% of the material being formed, and these fully-solubilized Ta and Mo make the physical and electrical properties uniform throughout the thin film, thermally in subsequent annealing processes following monoatomic deposition. A stable characteristic can be obtained. The reason why Ta and Mo are added to W or WNy is that W has a low specific resistance and W has a work function of 4.5 to 4.6 eV. In addition to Ta and Mo, Nb or Ti having a low work function value of about 4.1 to 4.3 eV may be used as the element added to W, and Ni having a high work function value of about 5.0 eV may be used in the PMOS gate. Alternatively, Pt may be used, but it is not superior to Ta or Mo in terms of thermal stability and solubility in subsequent annealing.

도 1c를 참조하면, 제 2 금속막(15) 상에 게이트의 저저항을 확보하기 위하여 제 3 금속막(16)을 형성한다. 여기서, 제 3 금속막(16)은 W막이나 저저항 Ta막으로 형성한다. 또한, 도시되지는 않았지만, 제 3 금속막(16)과 제 2 금속막(15) 사이에 제 3 금속과 제 2 금속의 반응을 억제하기 위하여 배리어막을 형성하는데, 이러한 배리어막은 이원계 및 이원계 이상의 전도성 금속질화막, 예컨대 TiN막, TaN막, TiAlN막, TaSiN 등으로 형성하는 것이 바람직하다. 그 다음, NMOS 및 PMOS 영역(12A, 12B)의 제 3 금속막(16) 상에 제 1 및 제 2 하드 마스크(17A, 17B)를 형성한다.Referring to FIG. 1C, a third metal film 16 is formed on the second metal film 15 to secure a low resistance of the gate. Here, the third metal film 16 is formed of a W film or a low resistance Ta film. In addition, although not shown, a barrier film is formed between the third metal film 16 and the second metal film 15 to suppress the reaction of the third metal and the second metal, and the barrier film has a binary system and a binary or higher conductivity. It is preferable to form a metal nitride film such as a TiN film, a TaN film, a TiAlN film, TaSiN, or the like. Next, first and second hard masks 17A and 17B are formed on the third metal film 16 of the NMOS and PMOS regions 12A and 12B.

도 1d를 참조하면, 제 1 및 제 2 하드 마스크(17A, 17B)를 이용하여 하부의 금속막들(16, 15, 14)을 식각하여, NMOS 영역(12A)에 제 1 내지 제 3 금속막(14, 15, 16)으로 이루어진 제 1 게이트(100A)를 형성함과 동시에 PMOS 영역(12B)에 제 2 및 제 3 금속막(15, 16)으로 이루어진 제 2 게이트(100B)를 형성함으로써, 듀얼 금속 게이트를 완성한다. 그 다음, 공지된 스페이서 형성공정으로 제 1 및 제 2 게이트(100A, 100B) 및 하드 마스크(17A, 18B) 측벽에 절연막의 스페이서(18)를 각각 형성한다.Referring to FIG. 1D, the lower metal layers 16, 15, and 14 are etched using the first and second hard masks 17A and 17B to form first to third metal layers in the NMOS region 12A. By forming the first gate 100A made of (14, 15, 16) and the second gate 100B made of the second and third metal films 15, 16 in the PMOS region 12B, Complete the dual metal gate. Then, spacers 18 of the insulating film are formed on the sidewalls of the first and second gates 100A and 100B and the hard masks 17A and 18B, respectively, by a known spacer forming process.

상기 실시예에 의하면, 저저항 금속막과 일함수 조절을 위한 금속막의 적층막으로 듀얼 게이트를 형성함에 따라 NMOS 및 PMOS에서 최적의 일함수값을 확보할 수 있다. 또한, NMOS 및 PMOS의 게이트 물질로서 저저항 금속막은 동일하게 사용하고 일함수 조절을 위한 금속막만을 서로 다른 물질로 비교적 박막으로 형성하므로 표면 단차가 최소화됨으로써 게이트 식각이 용이해진다.According to the above embodiment, as the dual gate is formed of the laminated film of the low resistance metal film and the metal film for adjusting the work function, an optimal work function value can be secured in the NMOS and the PMOS. In addition, as the gate material of the NMOS and PMOS, the low-resistance metal film is used in the same manner, and since only the metal film for the work function adjustment is formed of a relatively thin film with different materials, the gate step is facilitated by minimizing the surface level difference.

한편, 상기 실시예에서는 NMOS 게이트의 일함수 조절을 위한 금속막을 먼저 형성한 후 공정을 수행하였지만, 반대로 PMOS 게이트의 일함수 조절을 위한 금속막을 먼저 형성한 후 공정을 수행하는 것도 가능하다. Meanwhile, in the above embodiment, the metal film for adjusting the work function of the NMOS gate is first formed, but on the contrary, the metal film for adjusting the work function of the PMOS gate is first formed, and then the process may be performed.                     

도 3a 내지 도 3f는 본 발명의 다른 실시예에 따른 반도체 소자의 듀얼 금속 게이트 형성방법을 설명하기 위한 단면도로서, 본 실시예에서는 다마신(damascene) 공정을 적용하여 듀얼 게이트를 형성한다.3A to 3F are cross-sectional views illustrating a method of forming a dual metal gate of a semiconductor device according to another embodiment of the present invention. In the present embodiment, a dual gate is formed by applying a damascene process.

도 3a를 참조하면, 반도체 기판(20) 상에 필드 절연막(21)을 형성하고, 마스크 및 이온주입공정을 이용하여 기판(20) 내에 P웰의 NMOS 영역(22A)과 N웰의 PMOS 영역(22B)을 형성한다. 그 다음, NMOS 및 PMOS 영역(22A, 22B) 상에 더미 게이트 절연막(23A, 23B) 및 폴리실리콘막의 더미 게이트(24A, 24B)로 이루어진 제 1 및 제 2 더미패턴(200A, 200B)을 형성한 후, 제 1 및 제 2 더미패턴(200A, 200B)의 측벽에 절연막의 스페이서(25)를 각각 형성하고 NMOS 및 PMOS 영역(22A, 22B) 내에 LDD 구조의 제 1 및 제 2 접합영역(26A, 26B)을 각각 형성한다. 그 다음, 기판 전면에 층간절연막(27)을 형성하고, 제 1 및 제 2 더미패턴(200A, 200B)의 표면이 노출되도록 층간절연막(27)을 전면식각하여 기판 표면을 평탄화한다.Referring to FIG. 3A, a field insulating film 21 is formed on a semiconductor substrate 20, and an NMOS region 22A of a P well and a PMOS region of an N well are formed in the substrate 20 by using a mask and an ion implantation process. 22B). Next, the first and second dummy patterns 200A and 200B formed of the dummy gate insulating films 23A and 23B and the dummy gates 24A and 24B of the polysilicon film are formed on the NMOS and PMOS regions 22A and 22B. After that, spacers 25 of insulating films are formed on the sidewalls of the first and second dummy patterns 200A and 200B, respectively, and the first and second junction regions 26A, of the LDD structure, are formed in the NMOS and PMOS regions 22A and 22B. 26B) are formed respectively. Next, an interlayer insulating film 27 is formed on the entire surface of the substrate, and the surface of the first and second dummy patterns 200A and 200B is exposed to etch the entire surface of the interlayer insulating film 27 to planarize the substrate surface.

도 3b를 참조하면, 공지된 포토리소그라피로 층간절연막(27) 상에 NMOS 영역(22A)을 노출시키는 마스크 패턴(28)을 형성하고, NMOS 영역(22A)의 제 1 더미패턴(200A)을 제거하여 NMOS 게이트용 제 1 홀(29)을 형성한다.Referring to FIG. 3B, a mask pattern 28 exposing the NMOS region 22A is formed on the interlayer insulating layer 27 by known photolithography, and the first dummy pattern 200A of the NMOS region 22A is removed. The first hole 29 for NMOS gate is formed.

도 3c를 참조하면, 제 1 홀(29)이 형성된 기판 표면 상에 제 1 게이트 절연막(30)을 형성하고, 제 1 게이트 절연막(30) 상에 NMOS 게이트의 일함수 조절을 위한 제 1 금속막을 형성한다. 제 1 금속막은 일 실시예에서와 마찬가지로 4.2 내지 4.4eV의 일함수값을 가지는 금속막, 바람직하게 Ta를 함유한 텅스텐막으로서, 더욱 바람직하게는 WTax막 또는 WTaxNy막을 이용하여 ALD 공정으로 5 내지 500Å의 두께 로 형성하고, 조성 x 및 조성 y의 범위는 0.01 내지 0.99로 조절하며, W의 전구체(precursor)로는 WF6, W(CO)6, Cp2WH2(Cp = C5H5) 중 선택되는 하나를 이용하고, Ta의 전구체로는 TaCl4, Ta(OC2H5)4, TDMAT, TEDAT 중 선택되는 하나를 이용하며, ALD 공정은 50 내지 650℃의 온도 및 0.05 내지 3 Torr의 기압 조건하에서 수행한다.Referring to FIG. 3C, the first gate insulating layer 30 is formed on the substrate surface on which the first hole 29 is formed, and the first metal layer for adjusting the work function of the NMOS gate is formed on the first gate insulating layer 30. Form. The first metal film is a tungsten film containing a work function value of 4.2 to 4.4 eV, preferably Ta, as in one embodiment, more preferably 5 to 500 kW in an ALD process using a WTax film or a WTaxNy film. It is formed to a thickness of, and the composition x and the range of the composition y is adjusted to 0.01 to 0.99, as a precursor of W (WF6, W (CO) 6, Cp2WH2 (Cp = C5H5) using one selected from, As a precursor of Ta, one selected from TaCl 4, Ta (OC 2 H 5) 4, TDMAT, and TEDAT is used, and the ALD process is performed under a temperature of 50 to 650 ° C. and a pressure of 0.05 to 3 Torr.

도 3d를 참조하면, 제 1 금속막이 형성된 제 1 홀에 매립되도록 제 1 금속막상에 저저항의 제 2 금속막을 형성하고, 제 2 금속막, 제 1 금속막, 마스크 패턴(28), 및 제 1 게이트 절연막(30)을 층간절연막(27)이 노출되도록 전면식각하여, NMOS 영역에 제 1 금속막과 제 2 금속막으로 이루어진 제 1 게이트(31)를 형성한다. 여기서, 제 2 금속막은 일 실시예와 마찬가지로 W막이나 저저항의 Ta막으로 형성한다. 그 다음, PMOS 영역(22B)의 제 2 더미패턴(200B)을 제거하여 PMOS 게이트용 제 2 홀(32)을 형성한다.Referring to FIG. 3D, a second metal film having a low resistance is formed on the first metal film so as to be filled in the first hole in which the first metal film is formed, and the second metal film, the first metal film, the mask pattern 28, and the first metal film are formed. The first gate insulating film 30 is etched to expose the interlayer insulating film 27 to form a first gate 31 including a first metal film and a second metal film in the NMOS region. Here, the second metal film is formed of a W film or a low-resistance Ta film similarly to one embodiment. Next, the second dummy pattern 200B of the PMOS region 22B is removed to form the second hole 32 for the PMOS gate.

도 3e를 참조하면, 제 3 홀(32)이 형성된 기판 표면 상에 제 2 게이트 절연막(33)을 형성하고, 제 2 게이트 절연막(33) 상에 PMOS 게이트의 일함수 조절을 위한 제 3 금속막을 형성한다. 제 3 금속막은 일 실시예와 마찬가지로 4.7 내지 4.9eV의 일함수값을 가지는 금속막, 바람직하게 Mo를 함유한 텅스텐막으로서, 더욱 바람직하게는 WMox막 또는 WMoxNy막을 이용하여 ALD 공정으로 5 내지 500Å의 두께로 형성하고, 조성 x 및 조성 y의 범위는 0.01 내지 0.99로 조절하며, W의 전구체로는 WF6, W(CO)6, Cp2WH2(Cp = C5H5) 중 선택되는 하나를 이용하고, Mo의 전구체로는 Mo(acac)를 이용하며, ALD 공정은 50 내지 650℃의 온도 및 0.05 내지 3 Torr 의 기압 조건하에서 수행한다.Referring to FIG. 3E, the second gate insulating layer 33 is formed on the substrate surface on which the third hole 32 is formed, and the third metal layer for adjusting the work function of the PMOS gate is formed on the second gate insulating layer 33. Form. The third metal film is a metal film having a work function value of 4.7 to 4.9 eV, preferably Mo, a tungsten film containing Mo, more preferably 5 to 500 kW in an ALD process using a WMox film or a WMoxNy film. It is formed in a thickness, the composition x and the range of the composition y is adjusted to 0.01 to 0.99, as a precursor of W using one selected from WF6, W (CO) 6, Cp2WH2 (Cp = C5H5), the precursor of Mo The furnace uses Mo (acac), the ALD process is carried out under a temperature of 50 to 650 ℃ and atmospheric pressure of 0.05 to 3 Torr.

도 3f를 참조하면, 제 3 금속막이 형성된 제 2 홀에 매립되도록 제 3 금속막 상에 저저항의 상기 제 2 금속막을 형성하고, 제 2 금속막, 제 3 금속막, 및 제 2 게이트 절연막(33)을 층간절연막(27)이 노출되도록 전면식각하여, PMOS 영역(22B)에 제 3 금속막과 제 2 금속막으로 이루어진 제 2 게이트(34)를 형성함으로써, 듀얼 금속 게이트를 완성한다.Referring to FIG. 3F, the second metal film having a low resistance is formed on the third metal film to be filled in the second hole in which the third metal film is formed, and the second metal film, the third metal film, and the second gate insulating film ( 33 is entirely etched to expose the interlayer insulating film 27, thereby forming a second gate 34 composed of a third metal film and a second metal film in the PMOS region 22B, thereby completing the dual metal gate.

상기 실시예에 의하면, 저저항 금속막과 일함수 조절을 위한 금속막의 적층막으로 듀얼 게이트를 형성함에 따라 NMOS 및 PMOS에서 최적의 일함수값을 확보할 수 있다. 또한, 게이트 공정을 다마신 공정으로 수행함에 따라 게이트 식각에 따른 문제를 해결할 수 있다.According to the above embodiment, as the dual gate is formed of the laminated film of the low resistance metal film and the metal film for adjusting the work function, an optimal work function value can be secured in the NMOS and the PMOS. In addition, as the gate process is performed by the damascene process, a problem due to gate etching may be solved.

한편, 상기 실시예에서는 다마신 공정으로 NMOS 게이트를 먼저 형성하였지만, 반대로 PMOS 게이트를 먼저 형성할 수도 있다.Meanwhile, in the above embodiment, the NMOS gate is first formed by the damascene process, but the PMOS gate may be formed first.

이상에서 설명한 본 발명은 전술한 실시예 및 첨부된 도면에 의해 한정되는 것이 아니고, 본 발명의 기술적 사상을 벗어나지 않는 범위 내에서 여러 가지 치환, 변형 및 변경이 가능하다는 것이 본 발명이 속하는 기술분야에서 통상의 지식을 가진 자에게 있어 명백할 것이다.
The present invention described above is not limited to the above-described embodiments and the accompanying drawings, and various substitutions, modifications, and changes are possible in the art without departing from the technical spirit of the present invention. It will be clear to those of ordinary knowledge.

전술한 본 발명은 저저항 금속막과 일함수 조절을 위한 금속막의 적층막으로 듀얼 게이트를 형성함에 따라 NMOS 및 PMOS에서 최적의 일함수값을 확보할 수 있을 뿐만 아니라 게이트 형성 공정을 용이하게 수행할 수 있다.According to the present invention, the dual gate is formed of a laminated film of a low resistance metal film and a metal film for adjusting the work function, thereby ensuring an optimal work function in NMOS and PMOS as well as facilitating a gate forming process. Can be.

Claims (20)

제 1 도전형의 제 1 MOS 영역과, 제 2 도전형의 제 2 MOS 영역이 정의된 반도체 기판 상에 게이트 절연막을 형성하는 단계;Forming a gate insulating film on a semiconductor substrate on which a first MOS region of a first conductivity type and a second MOS region of a second conductivity type are defined; 상기 제 1 MOS 영역의 게이트 절연막 상에 상기 제 1 MOS의 일함수값을 조절하기 위한 제 1 금속막 패턴을 형성하는 단계;Forming a first metal film pattern on the gate insulating film of the first MOS region to adjust a work function value of the first MOS; 상기 제 1 금속막 패턴을 덮도록 상기 기판 전면 상에 상기 제 2 MOS의 일함수값을 조절하기 위한 제 2 금속막을 형성하는 단계;Forming a second metal film for adjusting a work function value of the second MOS on the entire surface of the substrate to cover the first metal film pattern; 상기 제 2 금속막 상부에 저저항의 제 3 금속막을 형성하는 단계;Forming a low resistance third metal film on the second metal film; 상기 제 1 및 제 2 MOS 영역의 제 3 금속막 상에 제 1 및 제 2 하드 마스크를 형성하는 단계; 및 Forming first and second hard masks on a third metal film of the first and second MOS regions; And 상기 하드 마스크를 이용하여 하부의 금속막들을 식각하여 제 2 MOS 영역에 제 2 및 제 3 금속막으로 이루어진 제 1 게이트를 형성함과 동시에 제 1 MOS 영역에 제 1 내지 제 3 금속막으로 이루어진 제 2 게이트를 형성하는 단계를 포함하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.Etching the lower metal layers using the hard mask to form a first gate formed of the second and third metal layers in the second MOS region and simultaneously forming first to third metal layers formed in the first MOS region. Forming a gate of the dual metal gate of the semiconductor device comprising the step of forming a gate. 제 1 항에 있어서, The method of claim 1, 상기 제 1 금속막은 WTax막 또는 WTaxNy막으로 형성하고, 상기 제 2 금속막은 WMox막 또는 WMoxNy막으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 금 속 게이트 형성방법.Wherein the first metal film is formed of a WTax film or a WTaxNy film, and the second metal film is formed of a WMox film or a WMoxNy film. 제 1 항에 있어서, The method of claim 1, 상기 제 1 금속막은 WMox막 또는 WMoxNy막으로 형성하고, 상기 제 2 금속막은 WTax막 또는 WTaxNy막으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.Wherein the first metal film is formed of a WMox film or a WMoxNy film, and the second metal film is formed of a WTax film or a WTaxNy film. 제 2 항 또는 제 3 항에 있어서, The method of claim 2 or 3, 상기 제 1 및 제 2 금속막은 단원자증착 공정으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 게이트 형성방법.And the first and second metal films are formed by a monoatomic deposition process. 제 4 항에 있어서, The method of claim 4, wherein 상기 제 1 및 제 2 금속막은 5 내지 500Å의 두께로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.And the first and second metal films are formed to a thickness of 5 to 500 kW. 제 4 항에 있어서, The method of claim 4, wherein 상기 제 1 및 제 2 금속막의 조성 x 및 조성 y의 범위는 0.01 내지 0.99로 조절하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.The range of composition x and composition y of the first and second metal films is adjusted to 0.01 to 0.99. 제 4 항에 있어서, The method of claim 4, wherein 상기 단원자증착 공정은 50 내지 650℃의 온도 및 0.05 내지 3 Torr의 기압 조건하에서 수행하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.The monoatomic deposition process is a method of forming a dual metal gate of a semiconductor device, characterized in that carried out under a temperature of 50 to 650 ℃ and atmospheric pressure of 0.05 to 3 Torr. 제 2 항 또는 제 3 항에 있어서, The method of claim 2 or 3, 상기 제 3 금속막은 W막이나 Ta막으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.And the third metal film is formed of a W film or a Ta film. 제 8 항에 있어서, The method of claim 8, 상기 제 2 금속막과 제 3 금속막 사이에 배리어막을 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.And forming a barrier film between the second metal film and the third metal film. 제 9 항에 있어서, The method of claim 9, 상기 배리어막은 TiN막, TaN막, TiAlN막, TaSiN 중 선택되는 하나의 막으로 형성하는 것을 특징으로 하는 반도체 소자의 듀얼 금속 게이트 형성방법.The barrier film is a method of forming a dual metal gate of a semiconductor device, characterized in that formed of one of the TiN film, TaN film, TiAlN film, TaSiN. 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete 삭제delete
KR1020020064149A 2002-10-21 2002-10-21 Method of forming dual metal gate for semiconductor device KR100899565B1 (en)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020020064149A KR100899565B1 (en) 2002-10-21 2002-10-21 Method of forming dual metal gate for semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020020064149A KR100899565B1 (en) 2002-10-21 2002-10-21 Method of forming dual metal gate for semiconductor device

Publications (2)

Publication Number Publication Date
KR20040034087A KR20040034087A (en) 2004-04-28
KR100899565B1 true KR100899565B1 (en) 2009-05-27

Family

ID=37333460

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020020064149A KR100899565B1 (en) 2002-10-21 2002-10-21 Method of forming dual metal gate for semiconductor device

Country Status (1)

Country Link
KR (1) KR100899565B1 (en)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2013059972A1 (en) * 2011-10-26 2013-05-02 中国科学院微电子研究所 Cmos device having dual metal gates and manufacturing method thereof
KR101368548B1 (en) 2011-10-20 2014-02-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Metal gate structure of a semiconductor device
US9837487B2 (en) 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US11296078B2 (en) 2018-11-02 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor device

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100629267B1 (en) 2004-08-09 2006-09-29 삼성전자주식회사 Integrated circuit device having a dual-gate structure and method of fabricating the same
KR100706244B1 (en) 2005-04-07 2007-04-11 삼성전자주식회사 Semiconductor device and method of forming the same
KR100697694B1 (en) * 2005-08-02 2007-03-20 삼성전자주식회사 Semiconductor device with dual gates and method of manufacturing the same
US20070178634A1 (en) * 2006-01-31 2007-08-02 Hyung Suk Jung Cmos semiconductor devices having dual work function metal gate stacks
CN102891111B (en) * 2011-07-22 2015-01-21 中芯国际集成电路制造(上海)有限公司 Manufacturing method of bimetal gate transistor
US8691655B2 (en) * 2012-05-15 2014-04-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of semiconductor integrated circuit fabrication
CN103545180B (en) * 2012-07-10 2017-07-14 中芯国际集成电路制造(上海)有限公司 The forming method of metal gates
CN103545181B (en) * 2012-07-11 2017-06-16 中芯国际集成电路制造(上海)有限公司 A kind of method that use dummy gate electrode manufactures semiconductor devices
CN103545185B (en) * 2012-07-13 2017-06-13 中芯国际集成电路制造(上海)有限公司 A kind of method that use dummy grid manufactures semiconductor devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020037942A (en) * 2000-11-16 2002-05-23 박종섭 Method for manufacturing gate in semiconductor device
KR20020040231A (en) * 2000-11-24 2002-05-30 박종섭 Method for manufacturing gate in semiconductor device
KR20020055917A (en) * 2000-12-29 2002-07-10 박종섭 Method of manufacturing a transistor in a semiconductor device
KR20020056282A (en) * 2000-12-29 2002-07-10 박종섭 Method for manufacturing gate in semiconductor device

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20020037942A (en) * 2000-11-16 2002-05-23 박종섭 Method for manufacturing gate in semiconductor device
KR20020040231A (en) * 2000-11-24 2002-05-30 박종섭 Method for manufacturing gate in semiconductor device
KR20020055917A (en) * 2000-12-29 2002-07-10 박종섭 Method of manufacturing a transistor in a semiconductor device
KR20020056282A (en) * 2000-12-29 2002-07-10 박종섭 Method for manufacturing gate in semiconductor device

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101368548B1 (en) 2011-10-20 2014-02-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 Metal gate structure of a semiconductor device
US9595443B2 (en) 2011-10-20 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate structure of a semiconductor device
US9978853B2 (en) 2011-10-20 2018-05-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming gate structure of a semiconductor device
US10553699B2 (en) 2011-10-20 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure of a semiconductor device
US11380775B2 (en) 2011-10-20 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Gate structure of a semiconductor device and method of making
US11894443B2 (en) 2011-10-20 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making gate structure of a semiconductor device
WO2013059972A1 (en) * 2011-10-26 2013-05-02 中国科学院微电子研究所 Cmos device having dual metal gates and manufacturing method thereof
US9837487B2 (en) 2015-11-30 2017-12-05 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US10439022B2 (en) 2015-11-30 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US11101344B2 (en) 2015-11-30 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US11728376B2 (en) 2015-11-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with gate stack
US11296078B2 (en) 2018-11-02 2022-04-05 Samsung Electronics Co., Ltd. Semiconductor device

Also Published As

Publication number Publication date
KR20040034087A (en) 2004-04-28

Similar Documents

Publication Publication Date Title
US6130123A (en) Method for making a complementary metal gate electrode technology
US7173312B2 (en) Structure and method to generate local mechanical gate stress for MOSFET channel mobility modification
US10651042B2 (en) Salicide bottom contacts
KR100775965B1 (en) Mos transistor of semiconductor device and method of manufacturing the same
KR100899565B1 (en) Method of forming dual metal gate for semiconductor device
KR20090097159A (en) Semiconductor device manufacturing method and semiconductor device
US20090104741A1 (en) Methods of fabricating semiconductor devices using a plasma process with non-silane gas including deuterium
US7473975B2 (en) Fully silicided metal gate semiconductor device structure
US8575014B2 (en) Semiconductor device fabricated using a metal microstructure control process
US6909145B2 (en) Metal spacer gate for CMOS FET
US6531365B2 (en) Anti-spacer structure for self-aligned independent gate implantation
US6586289B1 (en) Anti-spacer structure for improved gate activation
KR101147868B1 (en) method for fabricating semiconductor device having dual work function metal gate electrodes and semiconductor device fabricated therby
KR100764341B1 (en) Manufacturing method for semiconductor device
KR20020037942A (en) Method for manufacturing gate in semiconductor device
KR100431085B1 (en) Method of manufacturing a transistor in a semiconductor device
KR100463239B1 (en) Method for fabricating CMOS semiconductor device
KR20080088973A (en) Method of manufacturing semiconductor device
KR100380163B1 (en) Method of manufacturing a transistor in a semiconductor device
KR100610433B1 (en) Gate Electrode of Semi- conductor Device and Method for Gate Electrode of Fabricating Semiconductor Device
TW202410164A (en) Silicidation process for semiconductor devices
KR20010004934A (en) Method of manufacturing semiconductor device
JP2001217323A (en) Method for forming cmos device double metal gate structure
JP2005522035A (en) Method for forming an improved metal silicide contact to a conductive silicon-containing region
KR100607356B1 (en) Method for manufacturing the semiconductor device

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
LAPS Lapse due to unpaid annual fee