TWI708858B - 含鋁與氮之物質的選擇性沈積 - Google Patents

含鋁與氮之物質的選擇性沈積 Download PDF

Info

Publication number
TWI708858B
TWI708858B TW105124529A TW105124529A TWI708858B TW I708858 B TWI708858 B TW I708858B TW 105124529 A TW105124529 A TW 105124529A TW 105124529 A TW105124529 A TW 105124529A TW I708858 B TWI708858 B TW I708858B
Authority
TW
Taiwan
Prior art keywords
substrate
aluminum
nitrogen
deposition process
deposition
Prior art date
Application number
TW105124529A
Other languages
English (en)
Other versions
TW201718923A (zh
Inventor
王瀚
謝琦
戴芬 隆吉
強 威廉 梅斯
羅斯特 大衛 德
朱利安 謝
朱馳宇
泰瑪 阿西凱寧
Original Assignee
荷蘭商Asm Ip控股公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 荷蘭商Asm Ip控股公司 filed Critical 荷蘭商Asm Ip控股公司
Publication of TW201718923A publication Critical patent/TW201718923A/zh
Application granted granted Critical
Publication of TWI708858B publication Critical patent/TWI708858B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28194Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation by deposition, e.g. evaporation, ALD, CVD, sputtering, laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10KORGANIC ELECTRIC SOLID-STATE DEVICES
    • H10K85/00Organic materials used in the body or electrodes of devices covered by this subclass
    • H10K85/30Coordination compounds
    • H10K85/321Metal complexes comprising a group IIIA element, e.g. Tris (8-hydroxyquinoline) gallium [Gaq3]
    • H10K85/324Metal complexes comprising a group IIIA element, e.g. Tris (8-hydroxyquinoline) gallium [Gaq3] comprising aluminium, e.g. Alq3

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

提供的方法選擇性沉積含鋁與氮之物質於基底的第一導電表面上,其是相對於同一基底的第二介電表面。在一些方面也提供用在積體電路製程中形成含鋁與氮保護層或蝕刻終止層的方法。

Description

含鋁與氮之物質的選擇性沉積
本發明是有關於一種含鋁與氮物質的選擇性沉積法,例如含鋁與氮的薄膜在基底相對於第二表面的第一表面上。
積體電路現今是由複雜的製程所製造,其中多種物質層在預定的安排下,會依序建構在半導體基底上。
於半導體基底上對物質的預定安排通常是將物質沉積於整個基底表面上,接著移除基底上預定區域的物質來完成,例如沉積遮罩層以及接續的選擇性蝕刻製程。
在某些情況下,在基底上製造積體表面所包含的多個步驟,可以利用選擇性沉積製程來簡化。其中,將物質選擇性沉積於相對於第二表面的第一表面上,而不需要或減少後續的作業。於此所揭露的方法,用於選擇性沉積在基底的第一表面,是相對於基底的第二而不同的表面。
就一些方面來說,提供含鋁與氮物質的選擇性沉積製程。在一些實施例中,含鋁與氮物質被沉積於基底的第一表面,其相對於相同基底的第二介電表面與不同表面的第一表面上,在有一個或多個沉積週期的製程中,其方法包括將基底與含鋁第一氣相前驅物接觸,以及將基底與含氮第二氣相前驅物接觸。在一些實施例中,含鋁與氮物質在一次或多次沉積週期中,被沉積於基底的第一表面上,其相對於相同基底的第二矽-氧表面,其方法包括將基底與含鋁第一氣相前驅物接觸,以及將基底與含氮第二氣相前驅物接觸。在一些實施例中,含鋁與氮物質在一次或多次沉積週期中,被沉積於基底的第一表面上,其相對於同一基底的第二非導電表面,其方法包括將基底與含鋁第一氣相前驅物接觸,以及將基底與含氮第二氣相前驅物接觸。在一些實施例中,含鋁與氮物質在一次或多次沉積週期中,被沉積於基底的第一表面上,其相對於同一基底的第二介電表面,其選擇性大於約50%。在一些實施例中,第一表面包括銅、氮化鈦、鎢及氮化矽的至少其一。在一些實施例中,含鋁與氮物質為氮化鋁薄膜。在一些實施例中,氮化鋁薄膜包括氧。
在一些實施例中,含鋁第一氣相前驅物為有機金屬鋁化合物。在一些實施例中,含鋁第一氣相前驅物不包括鋁以外的任何金屬。在一些實施例中,含鋁第一氣相前驅物有化學式R3Al,其中每一R可為獨立選自C1~C4烷基。在一些實施例中,含鋁第 一氣相前驅物不包括鹵化物。在一些實施例中,含鋁第一氣相前驅物包括一個氯配位體與至少兩個烷基配位體。在一些實施例中,含鋁第一氣相前驅物包括至少一氫配位體與至少一烷基配位體。在一些實施例中,含鋁第一氣相前驅物不包括氮、矽或氧。在一些實施例中,含鋁第一氣相前驅物包括三乙基鋁(triethylaluminum,TEA),三甲基鋁(trimethylaluminum,TMA)或三叔丁基鋁(tritertbutylaluminum,TTBA),含氮第二氣相前驅物含有氨。
在一些實施例中,第二介電表面含有矽-氧鍵。在一些實施例中沉積製程包括熱原子層沉積(ALD)製程。在一些實施例中沉積製程在至少2連續沉積週期中不包括電漿。在一些實施例中,沉積製程更包括在第一次沉積週期前將基底曝露於前處理反應物。在一些實施例中前處理反應物包括電漿。在一些實施例中,將基底曝露於前處理反應物在第一次沉積週期前可增強選擇性大於約2倍。在一些實施例中,沉積製程更包括在至少一次沉積週期後將基底曝露於電漿中。在一些實施例中,基底於曝露於電漿中在大於10次沉積週期後。
在一些實施例中含鋁與氮物質包括稀釋氫氟酸蝕刻選擇性,相對於二氧化矽。在一些實施例中,含鋁與氮物質沉積於基底的第一表面,其相對於同一基底的第二介電表面的比率大於約10:1,其中含鋁與氮物質沉積在基底上的第一表面上的厚度大於約5奈米。在一些實施例中,含鋁與氮物質沉積於基底的第一表面, 其相對於相同基底的第二介電表面的比率大於約10:1,其中含鋁與氮物質沉積在基底上的第一表面上的厚度大於約1奈米。在一些實施例中,含鋁與氮物質沉積於基底的第一表面,其相對於相同基底的第二介電表面的比率大於約10:1,其中沉積製程包括約1至25次之間的沉積週期。在一些實施例中,在一些實施例中,含鋁與氮物質沉積於基底的第一表面,其相對於相同基底的第二介電表面的比率大於約10:1,其中沉積製程包括約在1至150次之間的沉積週期。在一些實施例中,約在1至25次間的沉積週期之後,約少於0.1奈米的含鋁與氮物質沉積於基底的第二介電表面。在一些實施例中,含鋁與氮物質與二氧化矽的濕式蝕刻速率的比例少於約1:5。
在一些實施例中,基底的第二介電表面覆蓋在源極/汲極區域上,沉積製程更包括移除基底的第二介電表面,將源極/汲極區域曝露並形成接觸窗於裸露的源極/汲極區域上。
就一些方面,提供製程將氮化鋁選擇性沉積於基底的第一表面上,其相對相同基底的第二介電表面。在一些實施例中製程可能包括一次或更多的沉積週期,包括交替地和依序將基底接觸氣相三叔丁基鋁與氣相氨。在一些實施例中氮化鋁是以大於約50%的選擇性,沉積於基底的第一表面上,其相對於同一基底的第二介電表面。在一些實施例中第二介電表面包括矽-氧鍵。在一些實施例中,沉積製程包括熱原子層沉積製程。在一些實施例中沉積製程在至少2連續沉積週期中不包括電漿。在一些實施例中, 沉積製程更包括將基底曝露於前處理反應物在第一次沉積週期前。在一些實施例中前處理反應物包括電漿。在一些實施例中,沉積製程可能包括一部份或所有前述之實施例。
就一些方面,提供製程使在自我對準接觸窗形成中以形成蝕刻終止層。在一些實施例中,製程可能包括提供半導體基底,其基底包括第一表面和第二介電表面覆蓋在源極/汲極區域上,去除基底的第一表面的一部分以形成一凹陷於其中,選擇性沉積一含鋁與氮物質於相對於第二介電表面的第一表面上,去除基底的第二介電表面使基底的源極/汲極區域裸露,接著形成接觸窗於裸露的源極/汲極區域上。在一些實施例中,第一表面包括銅、氮化鈦、鎢及氮化矽的至少其一。在一些實施例中,含鋁與氮物質為氮化鋁薄膜。在一些實施例中,氮化鋁薄膜含有氧。在一些實施例中,形成製程可能包括一部份或所有前述之實施例。
本發明更能被了解藉由實施方式與附圖,其中這些附圖意在說明,並不限制於此。
100、200:原子層沉積製程
110、120、130、140、150、160、170:步驟
210、220、230、240、250、260、270:步驟
300:自我對準接觸窗形成製程
301、302、303、304:步驟
400:自我對準接觸窗形成製程
401、402、403、404:步驟
圖1繪示選擇性沉積含鋁與氮物質於基底的第一表面上,其相對於同一基底的第二不同表面之沉積製程流程。
圖2繪示選擇性沉積鋁化氮於基底的第一表面上,其相對於同一基底的第二不同表面之沉積製程流程。
圖3繪示形成自我對準接觸窗結構之製程流程。
圖4繪示形成自我對準接觸窗結構之另一製程流程。
圖5繪示沉積物質厚度與沉積週期關係圖,含鋁與氮物質選擇性沉積於第一氮化鈦表面上,其相對於第二二氧化矽表面。
圖6繪示沉積物質厚度與沉積週期關係圖,含鋁與氮物質選擇性沉積於第一氮化鈦表面上,其相對於二氧化矽與自然氧化層第二表面。
圖7繪示沉積物質厚度與沉積週期關係圖,含鋁與氮物質選擇性沉積於第一氮化鈦表面上,其相對於第二自然氧化層表面。
圖8繪示沉積物質厚度與沉積週期關係圖,含鋁與氮物質選擇性沉積於第一氮化鈦或鎢表面上,其相對於第二二氧化矽層表面。
在一些情況下,期望含鋁與氮物質如氮化鋁選擇性沉積於基底的第一表面上,其相對於相同基底的第二不同表面。例如,含鋁與氮物質的選擇性沉積可形成覆蓋層、阻擋層或是蝕刻終止層,如接觸窗蝕刻終止層。例如,含鋁與氮物質在基底的第一表面的沉積選擇性優於第二不同表面,如相同基底的介電表面。
在一些實施例中,提供基底包括第一表面與第二不同表面,與含鋁與氮物質選擇性沉積於基底的第一表面上,其相對於第二表面,其利用複數沉積週期的原子層沉積製程,採用交替性 與依序性地將基底接觸於含鋁第一氣相前驅物與含氮第二氣相前驅物。在一些實施例中,選擇性沉積之含鋁與氮物質是氮化鋁。
在一些實施例中,含鋁與氮物質如氮化鋁,選擇性沉積於基底的金屬表面上,其基底包括導電表面與介電表面。在一些實施例中,氮化鋁選擇性沉積於基底的第一導電表面如銅、鎢或氮化鈦表面,其相對於相同基底的第二介電表面如二氧化矽或低介電常數表面。在一些實施例中,氮化鋁選擇性沉積於第一表面不是導電表面如氮化矽表面,其相對於相同基底的第二介電表面如氧化矽或低介電常數表面。在一些實施例中氮化鋁選擇性沉積於銅表面上,其相對於第二不同表面。在一些實施例中氮化鋁選擇性沉積於鎢表面上,其相對於第二不同表面。在一些實施例中氮化鋁選擇性沉積於氮化鈦表面上,其相對於第二不同表面。在一些實施例中氮化鋁選擇性沉積於氮化矽表面上,其相對於第二不同表面。
原子層沉積製程
原子層沉積製程是基於化學前驅物的控制與自我侷限表面反應。藉由基底交替式與依序式控制與前驅物接觸以避免氣相間的反應。氣相反應物在基底表面上彼此被區隔,舉例說明,藉由移除反應腔體與反應脈衝間的過量反應物與/或反應副產物。在一些實施例中,一個或更多的基底表面被交替式與依序式地與兩種或更多氣相前驅物或反應物接觸。基底表面與兩種或更多氣相 前驅物或反應物接觸。基底表面與氣相反應物接觸意味著反應物氣體在受限的短時間內接觸於基底表面,換句話說,可以理解的是,基底表面在受限的時間內曝露於每一氣相反應物。
簡要地,基底包括第一表面與第二不同表面的至少其一,在較低氣壓環境下,被加熱至適當的沉積溫度以提供基底表面上反應所需的活化能,沉積溫度一般被控制在低於反應物的分解溫度且高於反應物的凝結溫度。當然,任一原子層沉積反應其適當的溫度範圍取決於參與反應的表面端與反應物。在這裡,沉積溫度的變動依據前驅物的種類,一般低於約500℃,較佳者為約250℃至約500℃,更佳者為約275℃至約450℃,進而更佳者為約300℃至約425℃,最佳者為約325℃至約400℃。
基底的表面接觸於氣相第一反應物。在一些實施例中,一個脈衝的氣相第一反應物被提供至含有基底的反應空間中。在一些實施例中,基底被移動至含有氣相第一反應物的反應空間中。操作條件優先設定為,不超過約一個單層的第一反應物採自我侷限方式被吸附在基底表面上。適當的接觸時間可由熟練的技術人員根據特定狀況而迅速設定。過量的第一反應物與反應副產物如果有的話,從基底表面移除,如利用惰性氣體清潔或是在第一反應物存在下移除基底。
清潔意謂著將基底表面上的氣相前驅物與/或反應副產物移除,例如使用幫浦抽氣方式將腔體清空與/或通入如氬氣或氮氣之惰性氣體取代腔體內部的氣體。清潔時間一般為從約0.05至20 秒,較佳者為從約1至10秒,更佳者為從約1至2秒。然而如有需要其他清潔時間可能被運用,如階梯覆蓋於非常高深寬比之結構或其他複雜表面形貌結構的需求。
基底的表面接觸於氣相第二氣態反應物。在一些實施例中,一個脈衝第二氣相反應物被提供至含有基底的反應空間中。在一些實施例中,基底被移動至含有氣相第二反應物的反應空間中。表面反應的過量第二反應物與氣態副產物如果有的話,從基底表面移除。接觸步驟與移除步驟一直重複,直到指定厚度的薄膜厚度在基底第一表面上選擇性形成為止,其每一週期會留下不到約一層的分子單層。附加階段包括以交替與依序將基底表面接觸反應物,可包括形成更複雜的物質,如三元物質。
如先前所述,每一製程的每一階段皆有自我侷限機制。過量的反應前驅物產生於每一階段飽和受影響的結構表面。表面飽和確保反應物填滿了所有的反應位置,(主題,例如,如物理尺寸或立體位阻限制)並確保完美的階梯覆蓋性。物質的不到一個分子層被沉積於每一沉積週期,然而,在一些實施例中多於一個的分子層沉積於沉積週期中。
移除過量反應物可包含將反應空間清空與/或使用如氦氣、氮氣等其他惰性氣體清潔反應空間。在一些實施例中,清潔可包括關閉反應氣體流動與使惰性載流氣體持續流入反應空間中。
基底包括多種材質。基底一般包含了多種不同化學與物 理特性的薄膜。例如,但並不限制於此,基底可能包含一介電層與金屬層。在一些實施例中基底可包括金屬碳化物。在一些實施例中基底包括導電氧化物。
較佳的基底包括導電表面的第一表面如金屬或金屬表面。在一些實施例中第一表面包含一或多個過渡金屬。過渡金屬可選擇自:鈦、釩、鉻、錳、銣、鉬、釕、銠、鈀、銀、金、鉿、鉭、鎢、錸、鋨、銥與鉑。在其他實施例中過度金屬可選擇自:鐵、鈷、鎳。在一些實施例中較佳的第一表面包含銅。在一些實施例中第一表面包含貴金屬。貴金屬可選擇自:金、鉑、銥、鈀、鋨、銀、錸、銠、與釕。在一些較佳的實施例中第一表面包括銅、鎢、氮化鈦或氮化矽的至少其一。
在一些實施例中第一表面可能包括一種以上的物質如氮化鈦與氮化矽。
在一些實施例中第一表面包括金屬矽化物如過渡金屬矽化物。在一些實施例中,第一表面包括金屬化薄膜如過渡金屬碳化物或含碳過渡金屬材料。在一些實施例中第一表面可能包括鋁。在一些實施例中第一表面包括金屬或金屬化材料合金。
第二表面較佳者為介電表面,如氧化矽、氧化鍺或低介電常數表面。在一些實施例中介電物質包括二氧化矽。在一些實施例中介電物質是多孔材料。在一些實施例中多孔介電物質包括彼此連接的微孔結構,而在其他實施例中微孔結構沒有彼此連接。在一些實施例中介電物質包括低介電常數絕緣材料,其介電 常數低於約4.0。在一些實施例中,低介電常數物質的介電常數低於約3.5、低於約3.0、低於約2.5和低於約2.3。在一些實施例中第二表面包括矽-氧鍵。在一些實施例中第二表面被去活化,如受到電漿處理。在一些實施例中第二表面是非導體表面。在一些實施例中第二表面的電阻係數大於約1ohm.m。在一些實施例中第二表面包含矽-氧鍵且電阻係數低於約1ohm.m。介電物質這術語在此,與第一表面、金屬表面或金屬化表面作簡單區別。除非相對於特定的實施方式說明,否則介電物質在本發明應用是被知悉為泛指所有具有非常高電阻係數之平面。
用於原子層沉積的前驅物在標準條件下(室溫與一大氣壓)可能為固體、液體或氣體物質,在前驅物接觸基底表面前須先將前驅物態相轉為氣態。將基底表面接觸氣態化前驅物意味著前驅物氣體在受限制的時間內與基底表面接觸。通常,接觸時間約0.05至10秒。然而根據基底類型與其表面面積,接觸時間可能大於10秒。接觸時間在一些情況下可為數分鐘。最佳接觸時間可由熟練的技術人員根據特定狀況而判斷。
前驅物的質量流量控制,可由熟練的技術人員控制。在一些實施例中,但並不限制於此,金屬前驅物的流量較佳者為約1至1000sccm之間,更佳者為約100至500sccm之間。
反應腔氣壓為從約0.01至約20mbar,更佳者為從約1至約10mbar。然而在一些情況中氣壓將會高於或是低於此範圍,可由熟練的技術人員根據特定狀況而判斷。
開始沉積薄膜前,基底通常被加熱至適當成長溫度。成長溫度會根據薄膜形成之種類、前驅物之物理特性等因素而變化。成長溫度會在下面更詳細地參照薄膜形成的每一類型討論。成長溫度可低於被沉積物質的結晶溫度則形成非晶相薄膜,成長溫度若可高於被沉積材料的成核溫度則形成晶相化薄膜。較佳的沉積溫度可能根據以下因素變化,但並不限制於此,如反應前驅物、氣壓、流量、反應器的安排、沉積薄膜的結晶溫度與基底的成分與其材料性質。特定成長溫度可由熟練的技術人員選擇。
反應器能夠利用於沉積法成長薄膜。反應器能提供前驅物包括原子層沉積反應器,以及配有相當設備的化學氣相沉積反應器。根據一些實施例,具有噴氣頭部件之反應器有可能被使用。
適當的市售反應器可能被使用,包括F-120®反應器、F-450®反應器、Pulsar®系列如Pulsar® 2000與Pulsar® 3000、EmerALD®反應器與Advance® 400系列反應器,其供應商為位於美國亞利桑那州,鳳凰城的ASM America,Inc.與荷蘭,阿爾梅勒的ASM Europe B.V.。其他適當反應器的供應商為位於日本,東京的ASM Japan K.K,其商品名為Eagle® XP and XP8。
在一些實施例中,可能使用批量反應器。適當的批量反應器可由美國亞利桑那州,鳳凰城的ASM America,Inc.與荷蘭,阿爾梅勒的ASM Europe B.V.所提供的ALDA400TM與A412TM沉積系統,而並不限制於此。在一些實施例中,垂直式批量反應器被利用,其中的承載舟在製程中旋轉,如A412TM。在一些實施例中, 晶片在製程中旋轉。在一些實施例中批量反應器的晶片與晶片之間的均勻性小於3%(一個標準差),小於2%,小於1%或更低。
成長法可被選擇將反應器或反應空間連結於一群集式工具。群集式工具中,由於每一反應空間專屬用於一種製程,每一模組的反應空間的溫度可以被維持固定,可以改善單一反應器每一次製程前需要加熱基底的缺點,增加產能。
獨立反應器配有加載互鎖機制,在這機制下每一次運作間不需要另外冷卻其反應空間。
較佳者,形成含氮與鋁之物質,每一原子層沉積週期包含至少二個不同階段。將基底接觸於第一前驅物而接著移除基底表面上的過量前驅物與反應副產物,製程可能被討論為階段,可能簡稱第一階段、第一前驅物階段、鋁階段、鋁前驅物階段、第一鋁階段與/或第一鋁前驅物階段。第一階段中含鋁第一前驅物接觸基底,在基底表面上形成不到一層以上之單層材料。在第二階段中,將基底接觸含氮第二前驅物,可能轉換被吸附的含鋁第一前驅物為含氮與鋁物質。基底接觸含氮第二前驅物,接著移除基底的表面上的過量前驅物與反應副產物,製程可能被討論為階段,可能簡稱二階段、第二前驅物階段、氮階段、氮前驅物階段、第二氮階段與/或第二氮前驅物階段。提供一種或更多前驅物可能需要載流氣體的輔助如氮氣、氬氣或氦氣。附加階段的可能增加與階段的可能減少根據最終薄膜的組成而調整。
參照圖一與根據較佳實施例,含鋁與氮物質被選擇性沉 積於基底的第一表面上,其基底包括第一表面與第二不同表面,採用原子層沉積製程100且包含至少一次沉積週期。
將基底與含鋁第一氣相前驅物接觸如步驟120。
移除基底上的過量前驅物與反應副產物,如果有的話,如步驟130。
將基底與第含氮二氣相前驅物接觸如步驟140。
移除基底上的過量前驅物或任何氣態反應副產物如步驟150。
可選擇重複執行接觸與移除步驟直到期望厚度的含氮與鋁物質形成如步驟160。
在一些實施例中,一個或更多基底的表面可能被執行前處理製程在開始沉積製程100前。在一些實施例中,前處理製程可能增強製程100的選擇性。在一些實施例中,在執行沉積步驟100之前,執行前處理製程可能增強含鋁與氮物質在一平面上的沉積,相對於單一或更多不同平面。在一些實施例中,在執行沉積步驟100之前,執行前處理製程可能抑制含鋁與氮物質在一平面上的沉積,相對於單一或更多不同平面。在圖1中,這是由步驟110標示,於沉積含鋁與氮物質前基底可曝露於前處理反應物,如電漿。
在一些實施例中,前處理製程可能包括將基底曝露於前處理物質。在一些實施例中,前處理物質可能包括氧。在一些實施例中,前處理物質包括氧原子團、氧原子、氧電漿或其以上之 組合物。在一些實施例中,前處理物質可能包括氮。在一些實施例中,前處理物質包括氮原子團、氮原子、氮電漿或其以上之組合物。在一些實施例中,前處理物質可能包括氫。在一些實施例中,前處理物質包括氫原子團、氫原子、氫電漿或其以上之組合物。
在一些實施例中,利用前處理製程,其中將基底曝露於含氧電漿之前處理反應物,例如,提供氧氣流量可能為從約1至約2000sccm,較佳者為約5至約1000sccm,更佳者為從約50至約500sccm。在一些實施例中,提供氧氣流量可能為從約300sccm。在一些實施例中,利用前處理製程,其中將基底曝露於含氮電漿之前處理反應物,例如,提供氮氣流量可能為從約1至約5000sccm,較佳者為從約5至約2000sccm,更佳者為從約50至約500sccm。在一些實施例中,提供氮氣流量可能為從約300sccm。在一些實施例中,利用前處理製程,其中將基底曝露於含氫電漿之前處理反應物,例如,提供氫氣流量可能為從約1至約2000sccm,較佳者為約5至約1000sccm,更佳者為從約10至約100sccm。在一些實施例中,提供氫氣流量可能為約50sccm。相似的條件能夠用於其他類型的電漿。
在一些實施例中,前處理製程可能包括在前處理溫度下,將基底曝露於前處理反應物。在一些實施例中前處理溫度可能大於約20℃。在一些實施例中前處理溫度可能為約20℃至約500℃之間,較佳者為約50℃至約450℃之間,較佳者為約150℃至約 400℃之間。在一些實施例中前處理溫度可能與沉積溫度相似。在一些實施例中前處理溫度可能與沉積溫度不同。在一些實施例中電漿可能在低於2500瓦的功率下產生,例如約1至約1000瓦,約1至約500瓦,約1至約200瓦或更低。在一些實施例中電漿可能在50瓦的功率產生。在一些實施例中電漿可能在100瓦的功率產生。
在一些實施例中,電漿的提供時間低於約200秒,例如約為180秒或更低,約60秒或更低,約30秒或更低,約10秒或更低,或約3秒或更低。
在一些實施例中電漿由反應器形成。在一些實施例中電漿可能原位形成在基底上方或緊臨於基底。在一些實施例中電漿由遠程電漿產生器中的反應腔源頭產生,電漿被引導至反應腔以接觸基底。如熟練的技術人員可將理解,關於遠程電漿,到達基底的路徑被優化為以最大量之中性粒子與最少量的離子存活,於到達基底之前。
在一些實施例中,在選擇性沉積前,經前處理製程後的基底不被曝露於外在環境。在一些實施例中,在選擇性沉積製程前,經前處理製程後的基底不被曝露於空氣中。
在一些實施例中,前處理製程可能用於增強,後續進行之選擇性沉積製程的選擇性。在一些實施例中,前處理製程可能增強含鋁與氮物質在第一平面上的選擇性沉積,其相對於第二不同平面。在一些實施例中,前處理製程可能增強後續選擇性沉積 製程的選擇性,其大於2倍,約大於5倍,或約大於10倍。
在一些實施例中,前處理製程可能與後續沉積製程100在同一反應腔體或反應器中執行。在一些實施例中,前處理製程可能與後續沉積製程100在不同反應腔體或反應器中執行。
再一次提到圖1,在步驟120中,基底接觸含鋁第一氣相前驅物。在一些實施例中,第一前驅物被以氣相脈衝方式,引導進入反應腔接觸基底的表面。條件被優選使得形成不超過一層單層膜的前驅物以自我侷限方式被吸附於基底表面。然而在一些實施例中,條件可能被選擇為形成約多於一層前驅物單層膜。
第一前驅物較佳者以氣態形式被提供。如果物質表現出在製程條件下將足夠的蒸氣壓力傳送物質到工件在足夠的濃度飽和曝露表面,其第一前驅物氣體被討論為本發明的目的「揮發物」。
在一些實施例中,第一前驅物接觸基底約0.01秒至約60秒,約0.02秒至約30秒,約0.025秒至約20秒,約0.05秒至約5.0秒,約0.05秒至約2.0秒或約0.1秒至約1.0秒。
原子層沉積製程中的第一前驅物在標準條件下(室溫與一大氣壓)可能為固體、液體或氣體,在第一前驅物接觸基底表面之前是以氣態提供。
在步驟130中過量第一前驅物與反應副產物,如果有,自基底表面上被移除,例如利用脈衝惰性氣體清潔如氮氣或氬氣等。清潔反應腔體意謂著將反應腔體內的氣相前驅物與/或氣相副產物移除,方法如使用幫浦抽氣方式將腔體清空與/或將反應器內 部的氣體取代為惰性氣體如氬氣或氮氣。通常清潔時間從約0.05至約20秒,較佳者為約1至約10秒之間,更佳者為約1至約2秒之間。然而,其他清潔時間也會被運用,如階梯覆蓋於非常高深寬比之結構或其他複雜的表面形貌被需要。最佳清潔時間可由熟練的技術人員根據特定狀況而迅速判斷。
在其他實施例中,然而,移除過量第一前驅物與反應副產物,如果有,可能包括移動基底使得第一前驅物不再接觸基底。在一些實施例中,沒有前驅物被移除自腔體的各部份。在一些實施例中,基底從含有第一前驅物的腔體,移至含有第二前驅物或沒有前驅物的腔體。在一些實施例中,基底從第一反應腔體移至第二不同的反應腔體。
在步驟140,將基底接觸於含氮第二氣相前驅物。在一些實施例中,含氮第二氣相前驅物被脈衝導入腔體,並與基底的第一表面上的第一前驅物結合。反應通常形成至約單層的含鋁與氮物質在基底上。而在一些實施例中,多於一分子層以上的含鋁與氮物質會形成於基底上。
在一些實施例中,第二前驅物可能包含氮電漿或氮原子團。在一些實施例中,氮氣被反應腔或源頭的反應腔提升能量。電漿是被期望的,未提升能量的第二前驅物可能包括有一種類型的清潔氣體,使得基底曝露於氮電漿的所需時間後,電漿產生器可能被關閉並自行通入氮前驅物以用於清潔反應腔體,其含有過量氮電漿與未被反應的副產物。
而熟練的技術人員可辨識第二前驅物的任何數量,適當的第二前驅物包括含氮化合物,能夠與先前與後續的第一前驅物配位體結合。適當的第二前驅物的選擇可取決於所使用的特定第一前驅物,和第一前驅物的配位體性質。
在一些實施例中,第二前驅物接觸基底約0.01秒至約60秒,約0.02秒至約30秒,約0.025秒至約20秒,約0.05秒至約5.0秒,或約0.1秒至約1.0秒。而根據反應器種類、基底種類與表面面積,第二前驅物的接觸時間可能甚至超過10秒。在一些實施例中,接觸時間可能達到數分鐘。最佳接觸時間可由技術人員根據特定狀況而迅速判斷。
第二前驅物在反應腔內的濃度體積比為約0.01%至約99.0%。且第二前驅物流經反應腔的流量為約1sccm與約4000sccm之間。
步驟150中基底上的過量第二前驅物與表面反應的氣相副產物,如果有,會被移除,如前述之步驟130。在一些實施例中,過量第二前驅物與反應副產物,較佳者藉由惰性氣體的幫助移除。
接觸與移除步驟可選擇重複如步驟160直到期望厚度的含鋁與氮物質形成於基底的第一表面上,每一沉積週期留下不多於一層的分子單層。在某些情況下,至少一種前驅物的至少部份分解是可能被期望。在一些實施例中,製程條件可能被選擇,使得在每一週期可形成大於一個分子層的含鋁與氮物質於基底上。
本發明的含鋁與氮物質的原子層沉積製程可包括一次或 更多的沉積週期。在一些實施例包含重覆至少約5次沉積週期,至少約10次沉積週期,至少約50次沉積週期。在一些實施例中,不超過100次沉積週期以完成期望厚度的薄膜。
在一些實施例中,基底表面與/或含鋁與氮物質可能會受到電漿處理製程。如圖1之步驟170所示。在一些實施例中,電漿處理製程可能在執行一次以上的沉積週期之後進行。在一些實施例中,電漿處理製程可能在沉積含鋁與氮物質前持續執行或結束。在一些實施例中,電漿處理製程可能在每約10次沉積週期後執行,每約20次沉積週期後執行,或每約50次沉積週期後執行。在一些實施例中,至少2次連續沉積週期沒有電漿處理製程。在一些實施例中,至少5或10次沉積沒有電漿處理製程。在一些實施例中,在任一沉積製程已經執行之前可進行電漿處理製程,即在任一沉積週期前執行。
在一些實施例中,執行電漿處理製程的反應腔或反應器可能相同於沉積製程步驟100所使用之反應腔或反應器。在一些實施例中,執行電漿處理製程的反應腔或反應器可能不同於沉積製程步驟100所使用之反應腔或反應器。
在一些實施例中,電漿在反應器中形成。在一些實施例中,電漿可能原位形成在基底上方或緊臨於基底。在一些實施例中電漿由遠程電漿產生器中的反應腔源頭產生,電漿被引導至反應腔以接觸基底。如熟練的技術人員可將理解,關於遠程電漿,到達基底的路徑被優化為以最大量之中性粒子與最少量的離子存 活,於到達基底之前。
在一些實施例中,含鋁與氮物質可藉由複數次沉積週期進行沉積,及電漿處理可於一次或多次進行,例如,沉積前、每一次沉積週期之後、在預先確定的間隔沉積之間,或到期望厚度的含鋁與氮物質形成之後。
在一些實施例中,電漿處理製程包括將基底曝露於直接電漿。在一些實施例中,電漿處理製程包括將基底曝露於遠程電漿。在一些實施例中,電漿處理製程包括將基底曝露於電漿放電中的受激發物質或原子化物質,但不包括大量離子。在一些實施例中,電漿包括氧。在一些實施例中,電漿包括氮。雖然是電漿處理製程,但在一些實施例中,反應氧物質不被包括在被使用的電漿中,如臭氧。在一些實施例中,電漿包括氫。
在一些實施例中,執行前處理製程或電漿處理製程,將基底曝露於包含氧電漿的反應物。氧氣可能被提供作為來源氣體,例如,約1至約2000sccm,較佳者為約5至約1000sccm,更佳者為約50至約500sccm。在一些實施例中,氧氣供應流量可能為約300sccm。
在一些實施例中,執行前處理製程或電漿處理製程,將基底曝露於包含氮電漿反應物。氮氣可能被提供作為來源氣體,例如,約1至約5000sccm,較佳者為約5至約2000sccm,更佳者為約50至約500sccm。在一些實施例中,氮氣供應流量可能為約300sccm。
在一些實施例中,執行前處理製程或電漿處理製程,將基底曝露於包含氫電漿反應物。在一些實施例中,氫氣可能被提供作為來源氣體,例如,約1至約2000sccm,較佳者為約5至約1000sccm,更佳者為約10至約100sccm。在一些實施例中,氫氣供應流量可能為約50sccm。相同條件可用於其他種類的電漿。
在一些實施例中,電漿處理製程可能包括將基底接觸於反應物,在處理溫度下。在一些實施例中,處理溫度可能高於約20℃。在一些實施例中,處理溫度可能為約20℃至約500℃之間,較佳者為約50℃至約450℃之間,較佳者為約150℃至約400℃之間。在一些實施例中,電漿處理製程可能約與前處理溫度相似。在一些實施例中,電漿處理製程可能與前處理溫度不同。
在一些實施例中電漿可能在低於2500瓦的功率下產生,例如約1至約1000瓦,約1至約500瓦,約1至約200瓦或更低。在一些實施例中電漿可能在50瓦的功率產生。在一些實施例中電漿可能在100瓦的功率下產生。
在一些實施例中,電漿提供為低約200秒,例如約180秒或更低,約600秒或更低,約30秒或更低,約10秒或更低,或約3秒或更低。
在一些實施例中,電漿處理製程170可能與前處理製程110大致相同。
雖然圖示中的含鋁與氮物質沉積週期,開始於基底表面含鋁接觸第一氣相前驅物,而其他實施例中沉積週期開始於基底 表面接觸含氮第二氣相前驅物。熟練的技術人員可了解在沉積週期中,將基底接觸於含鋁第一氣相前驅物與含氮第二氣相前驅物是可以互換的。
在一些實施例中,移動基底,使得不同的反應物可在預定安排與指定時間控制下,交替或依序接觸基底表面。在一些實施例中,不執行移除步驟130與150。在一些實施例中,沒有反應物可能從腔體的各個部份中被移除。在一些實施例中,基底從含有第一反應物的腔體部件,被移動至含有另一反應物的腔體部件。在一些實施例中,基底從第一反應腔體被移動至第二不同反應腔體。
熟練的技術人員可依照選定的前驅物判斷最佳反應物氣化溫度。熟練的技術人員可依照選定的前驅物性質與含鋁與氮物質的沉積特性,經由常規實驗決定最佳反應物接觸時間。
含鋁與氮物質的成長速率取決於反應條件而變化,如下所述,初期實驗中成長速率的變化在約0.01至約2.0Å/沉積週期之間。在一些實施例中,成長速率可能從約0.01至約2.0Å/沉積週期,較佳者從約0.1至約2.5Å/沉積週期,更佳者為約0.3至約2.0Å/沉積週期。
在一些實施例中,含鋁與氮物質包括薄膜。在一些實施例中,含鋁與氮物質包括氮化鋁。在一些實施例中,含鋁與氮物質為氮化鋁。在一些實施例中,含鋁與氮物質為鋁與氮所組成。在一些實施例中,附加反應物可用於合併,或貢獻其他物質至薄 膜中,例如氧的加入成為鋁氮氧化物。在一些實施例中,除了氮以外,附加非金屬元素是被期望的,用於形成含鋁與氮物質的原子層沉積製程可能包括除了初期鋁階段與氮階段以外的其他階段,例如當鋁氮氧化物是被需要的,就可能包括氧化階段。氧化階段中,氧或含氧前驅物被提供至反應腔中並被允許接觸基底表面。氧化階段可能是一次或多次沉積週期中的一部份。在一些實施例中,第二金屬階段可能被提供在一次或多次沉積週期中。氧化階段或其他被需要的製程階段可跟隨鋁階段或氮階段。但在另一情況,在一些實施例中,在下一個階段前移除反應空間中的過量氧(或其他反應物)與任何反應副產物,是需要的。在一些實施例中,附加階段,例如氧或附加金屬階段可能被提供在最後沉積週期之後,或是閒歇性提供在沉積製程中。
在一些實施例中,沉積含鋁與氮物質於基底的第一表面,其相對於基底的第二表面至少為約90%的選擇性,至少為約95%的選擇性,至少為約96%、97%、98%、99%或更高的選擇性。在一些實施例中,含鋁與氮物質的沉積僅發生於第一表面上而不發生於第二表面上。在一些實施例中,沉積於基底的第一表面,其相對於基底的第二表面的選擇性至少約80%,其選擇性已足夠可用於其他應用。在一些實施例中,沉積於基底的第一表面,其相對於基底的第二表面的選擇性至少約50%,其選擇性已足夠可用於其他應用。
在一些實施例中,含鋁與氮物質沉積於基底的第一表面, 其相對於基底的第二表面的選擇比例可能大於等於約10:1,大於等於約20:1,或大於等於約40:1。在一些實施例中,當沉積於基底的第一表面的含鋁與氮物質厚度大於約5nm,含鋁與氮物質沉積於基底的第一表面,其相對於基底的第二表面的選擇比例可能大於等於約10:1,大於等於約20:1,或大於等於約40:1。在一些實施例中,當沉積於基底的第一表面的含鋁與氮物質厚度大於約2.5nm,含鋁與氮物質沉積於基底的第一表面,其相對於基底的第二表面的選擇比例可能大於等於約10:1,大於等於約20:1,或大於等於約40:1。在一些實施例中,當沉積於基底的第一表面的含鋁與氮物質厚度大於約1nm,含鋁與氮物質沉積於基底的第一表面,其相對於基底的第二表面的選擇比例可能大於等於約10:1,大於等於約20:1,或大於等於約40:1。
在一些實施例中,含鋁與氮物質沉積於基底的第一表面,其相對於基底的第二表面的選擇比例可能大於等於約10:1,大於等於約20:1,或大於等於約40:1,當含鋁與氮物質沉積包括約0至約25次沉積週期之間,約0至約50次沉積週期之間,約0至約100次沉積週期之間,或約0至約150次沉積週期之間。在一些實施例中,小於約0.1nm含鋁與氮物質沉積於基底的第二表面上,當含鋁與氮物質沉積製程包括約0至約25次沉積週期之間,約0至約50次沉積週期之間,約0至約100次沉積週期之間,或約0至約150次沉積週期之間。
在一些實施例中,含鋁與氮物質具有相對於二氧化矽的 蝕刻選擇性,含鋁與氮物質的蝕刻速率低於二氧化矽,例如使用稀釋的氫氟酸。在一些實施例中,使用稀釋氫氟酸(0.5%),含鋁與氮物質具有濕式蝕刻速率(wet etch rate,WER)不到熱氧化移除速率的1/5,其熱氧化濕式蝕刻速率約2-3nm/min。在一些實施例中,含鋁與氮物質的濕式蝕刻速率相對於熱氧化矽(SiO2,TOX),小於約0.2,其經過0.5%稀釋氫氟酸蝕刻。在一些實施例中,含鋁與氮物質的濕式蝕刻速率相對於熱氧化矽(SiO2,TOX),小於約0.1,其經過0.5%稀釋氫氟酸蝕刻。
如圖2,在一些實施例中,提供基底包括第一表面與第二介電表面,與氮化鋁選擇性沉積於基底的第一表面上,藉由週期式沉積製程200包括至少一個沉積週期。
將基底接觸於氣相三甲基鋁如步驟220。
移除表面上的過量三甲基鋁與反應副產物如步驟230。
將基底接觸於氣相氨如步驟240。
移除表面上的過量氨與反應副產物如步驟230,以及可選擇重複執行接觸與移除步驟直到達到期望厚度的氮化鋁薄膜形成。
雖然圖示中的氮化鋁沉積週期,開始於三甲基鋁接觸基底表面,而其他實施例中沉積週期開始於氨接觸基底表面。熟練的技術人員可了解在沉積週期中,將基底接觸於三甲基鋁與氨是可以互換的。
在一些實施例中,基底的一個或多個表面可能在開始沉 積製程前,執行前處理製程。在一些實施例中,前處理製程可能提高選擇性沉積製程200的選擇性。在一些實施例中,在開始沉積製程前,前處理製程可能增強氮化鋁在單一平面上的沉積性,其相對於一或多個不同平面。在開始沉積製程前,前處理製程可能抑制氮化鋁在單一平面上的沉積性,其相對於一或多個不同平面。在圖2中的步驟210,基底可於沉積含鋁與氮物質前,曝露於前處理反應物中,例如電漿。
在一些實施例中,基底表面與/或氮化鋁薄膜可選擇執行電漿處理製程,如圖2步驟270中。在一些實施例中,電漿處理製程可能大致與圖1中電漿處理製程170相似。在一些實施例中,電漿處理製程270可能大致與前處理製程210相似。在一些實施例中,電漿處理製程可能在大於一次沉積週期執行後執行。在一些實施例中,電漿處理製程可能在沉積氮化鋁膜前持續執行或結束。在一些實施例中,電漿處理製程可能在大於約10次沉積週期後執行,大於約20次沉積週期後執行,或大於約50次沉積週期後執行。在一些實施例中,執行電漿處理製程的反應腔或反應器可能相似於沉積製程步驟200。在一些實施例中,執行電漿處理製程的反應腔或反應器可能不同於沉積製程步驟200。
在一些實施例中,提供基底包括第一表面與第二介電表面,與含鋁與氮物質選擇性沉積於基底的第一表面上,藉由週期式沉積製程包括至少一個沉積週期,包括交替式與依序式將基底接觸於氣相第一前驅物與氣相第二前驅物。在一些實施例中,第 一前驅物可能包括鋁,與第二前驅物可能包括氮。在一些實施例中,第一前驅物可能包括三叔丁基鋁,與第二前驅物可能包括氨。
在一些實施例中,提供基底包括第一表面與第二介電表面,與氮化鋁選擇性沉積於基底的第一表面上,藉由週期式沉積製程包括至少一個沉積週期,包括交替式與依序式將基底接觸於氣相第一前驅物與氣相第二前驅物。在一些實施例中,第一前驅物可能包括鋁,與第二前驅物可能包括氮。在一些實施例中,第一前驅物可能包括三叔丁基鋁,與第二前驅物可能包括氨。
在一些實施例中,提供基底,與氮化鋁選擇性沉積於基底的第一表面上,藉由週期式沉積製程包括至少一個沉積週期,包括交替式與依序式將基底接觸於氣相第一前驅物與氣相第二前驅物,其第一前驅物可能包括三叔丁基鋁,與第二前驅物可能包括氨。
在一些實施例中,在沉積前基底可能被執行前處理製程。在一些實施例中,前處理製程可能增強選擇性沉積的選擇性。在一些實施例中,在開始沉積製程前,前處理製程可能增強氮化鋁沉積於單一表面上的效果,其相對於一個或更多不同表面。在一些實施例中,在開始沉積製程前,前處理製程可能抑制氮化鋁沉積於單一表面上的效果,其相對於一個或更多不同表面。在一些實施例中,在沉積氮化鋁前,前處理製程可能包括將基底曝露於前處理反應物,例如電漿。
在一些實施例中,基底表面與/或氮化鋁薄膜可選擇被執 行電漿處理製程。在一些實施例中,電漿處理製程可能大致與電漿處理製程170與270相似,如圖1與圖2。在一些實施例中,電漿處理製程可能大致與前處理製程相似。在一些實施例中,電漿處理製程可能在大於一次沉積週期完成後執行。在一些實施例中,電漿處理製程可能在沉積氮化鋁前持續執行或結束。在一些實施例中,電漿處理製程可能在少於約10次沉積週期後執行,少於約20次沉積週期後執行,或少於約50次沉積週期後執行。在一些實施例中,執行電漿處理製程的反應腔或反應器可能相同於沉積製程。在一些實施例中,執行電漿處理製程的反應腔或反應器可能不同於沉積製程。
第一前驅物
許多不同的前驅物可用於選擇性沉積製程,所述如下。在一些實施例中,第一前驅物為含鋁有機金屬化合物。在一些實施例中,第一前驅物為烷基鋁化合物。在一些實施例中,第一前驅物不包括鋁以外的任何其他金屬。
在一些實施例中,第一前驅物為具有化學式R3Al之化合物,其中R可從甲基,乙基,丙基,異丙基,正丁基,異丁基和叔丁基之中單獨選擇。較佳者R可從甲基,乙基和叔丁基之中單獨選擇。在一些實施例中,R可為獨立選自C1~C4烷基。
在一些實施例中,第一前驅物包括Me3Al、Et3Al、或tBu3Al。在一些實施例中,第一前驅物為前述之三叔丁基鋁。如前 所述,在一些實施例中,第一前驅物為前述之三甲基鋁。
在一些實施例中,第一前驅物不是鹵化物。在一些實施例中,第一前驅物可能包括鹵素中的至少一個配位體,但並不是所有配位體。在一些實施例中,第一前驅物包括有一個氯配位體與在二個烷基配位體。在一些實施例中,第一前驅物為三氯化鋁(AlCl3)。
在一些實施例中,第一前驅物可能包括氫的至少一個配位體,但並不是所有配位體。在一些實施例中,第一前驅物可能包括至少一個氫配位體與至少一個烷基配位體。
在一些實施例中,第一前驅物不包括氮。在一些實施例中,第一前驅物不包括矽。在一些實施例中,第一前驅物不包括氧。在一些實施例中,第一前驅物不包括氮、矽或氧。
第二前驅物
在一些實施例中,第二前驅物包括氮-氫鍵。在一些實施例中,第二前驅物為氨(NH3)。在一些實施例中,第二前驅物為分子氮。在一些實施例中,第二前驅物為含氮電漿。在一些實施例中,第二前驅物為含氮電漿如含氮與氫電漿。在一些實施例中,第二前驅物包括被活化或被激發的氮物質,在一些實施例中,第二前驅物可能是含氮氣體的脈衝,其可由氮反應物與惰性氣體混合而成,例如氬氣。
整合
本發明的含鋁與氮物質可用於各種半導體應用。例如,含鋁與氮物質作為蝕刻終止層特別有用,如自我對準接觸窗形成製程中的接觸窗蝕刻終止層。自我對準源極/汲極接觸窗可提供一接觸窗黃光製程必須之錯位容許量。然而,標準的自我對準接觸窗形成製程需要多個金屬凹陷步驟、氮化矽填充步驟與一氮化矽之化學機械拋光步驟等附加製程步驟。
此外,在標準自我對準接觸窗製程中,氮化矽側壁間隙壁與蝕刻終止層未來尺寸的需求,由於增加元件的微型化可能產生接觸窗與金屬閘極間短路的風險,其因為間隙壁與蝕刻終止層的過渡蝕刻.
在一些實施例中,本發明的含鋁與氮物質可用於自我對準接觸窗形成製程中蝕刻終止層,其不包含金屬凹陷。在一些實施例中,本發明的含鋁與氮物質是蝕刻阻抗。圖3繪示了自我對準接觸窗形成製程,根據一些實施例,其含有含鋁與氮物質保護層,覆蓋層,或蝕刻停止層。在一些實施例中,自我對準接觸窗形成製程300如下。
提供半導體基底包括第一表面與第二不同表面覆蓋於源極/汲極區域如步驟301。
含鋁與氮保護層或蝕刻終止層如氮化鋁,被選擇性沉積於基底的第一表面上,其相對於第二表面,如步驟302。
第二表面被移除,例如使用濕式蝕刻製程如步驟303,以 及形成一接觸窗取代被移除之覆蓋於基底源極/汲極區域上之第二表面,如步驟304。
如步驟301,根據一些實施例,提供基底包括半導體。半導體基底包括第一表面與第二不同表面。在一些實施例中,第一表面包括導電表面。在一些實施例中,第一表面包括一或多種氮化金屬。在一些實施例中,第一表面可能包括導體閘極表面與/或間隙壁表面。例如在一些實施例中,第一表面可能包括氮化鈦閘極與氮化矽間隙壁。第二表面較佳者為介電表面。在一些實施例中,介電物質包括二氧化矽。在一些實施例中,第二表面為假性接觸窗覆蓋於源極/汲極區域上。在一些實施例中,二氧化矽假性接觸窗直接覆蓋於源極/汲極區域上。
在一些實施例中半導體基底進行標準閘極拋光製程。一半導體基底可能進行習知的標準金屬閘極取代製程流程,以形成源極、閘極與汲極。在一些實施例中,半導體基底可能會執行化學機械拋光製程。
含鋁與氮保護層或蝕刻終止層選擇性沉積於基底的第一表面上,其相對於第二表面,如步驟302。在一些實施例中,含鋁與氮保護物質形成於氮化鈦閘極與氮化矽間隙壁之上。在一些實施例中,含鋁與氮保護物質直接形成於氮化鈦閘極與氮化矽間隙壁之上。
在一些實施例中,利用所述之原子層沉積製程,沉積含 鋁與氮保護層。在一些實施例中,基底交替與依序接觸含鋁第一前驅物與含氮第二前驅物。在一些實施例中,含鋁與氮保護層包括氮化鋁。在一些實施例中,含鋁與氮保護層包括氮化鋁薄膜。
在一些實施例中,沉積含鋁與氮保護層於基底的第一表面,其相對於基底的第二表面至少為約90%的選擇性,至少為約95%的選擇性,至少為約96%、97%、98%、99%或更高的選擇性。。在一些實施例中,含鋁與氮物質的沉積僅發生於第一表面上而不發生於第二表面上。在一些實施例中,基底的第一表面的沉積選擇性至少約80%,或至少約50%,其是相對於基底的第二表面。
在一些實施例中,含鋁與氮保護層或蝕刻終止層沉積於基底的第一表面以達到特定厚度。適當的厚度可能大於或等於約0.1nm,並且低於或等於10nm。在一些實施例中,厚度為約0.1nm至約5nm之間。在一些實施例中,厚度為約1nm至約5nm之間。在一些實施例中,厚度為約1nm至約3nm之間。在一些實施例中,厚度為約2nm至約3nm之間。適當的厚度可能大於或等於約0.1nm,並且低於或等於10nm。在一些實施例中,合適的厚度將是一個實現在基底表面上形成一個完整的膜層(即沒有留下間隙)。因此,實際的厚度達到一個完整層可取決於形成含鋁與氮物質之前驅物種類。
步驟303中,基底的第二表面被移除,例如使用濕式蝕刻製程。在一些實施例中,基底的第二表面被稀釋氫氟酸蝕刻移除。例如在一些實施例中,基底的第一與第二表面曝露於稀釋氫 氟酸,基底的第二表面被移除,而含鋁與氮保護層保護了其下方的閘極與間隙壁。含鋁與氮保護層的功能為蝕刻終止層,因為所述之具有的濕式蝕刻速率低於第二介電表面。
圖3的步驟304中,接觸窗可能取代被移除的第二表面,形成覆蓋於源極/汲極區域上。在一些實施例中,接觸窗可能直接形成覆蓋於源極/汲極區域上。在一些實施例中,接觸窗矽化物質或含鈦物質,例如鈦或氮化鈦。根據一些實施例,接觸窗可能藉由習知方式或未來的開發形成,如鈦接觸窗可藉由物理氣相沉積(PVD)形成,或氮化鈦接觸窗可藉由原子層沉積(ALD)製程形成。
在一些實施例中,形成一個或複數個接觸窗後,基底可選擇是否執行下一步製程或處理步驟。
在一些實施例中,本發明中,含鋁與氮物質可能應用於自我對準接觸窗形成製程中的蝕刻終止層,其製程不含金屬凹陷。根據一些實施例中,圖4繪示了自我對準接觸窗形成製程流程,包含含鋁與氮物質保護層或蝕刻終止層。根據一些實施例,自我對準接觸窗形成製程400流程如下:提供半導體基底包括第一表面與第二不同表面覆蓋於源極/汲極區域上,如步驟401;移除第一表面的一部分以產生凹陷,如步驟402;含鋁與氮保護層或蝕刻終止層如氮化鋁,被選擇性沉積於基底的第一表面上,其相對於第二表面,如步驟403;以及移除第二表面如使用濕式蝕刻製程,並於基底源極/汲極 區域上形成接觸窗,取代被移除之覆蓋於基底源極/汲極區域上之第二表面,如步驟404。
根據一些實施例,於步驟401,提供基底包括半導體。半導體基底包括第一表面與第二不同表面。在一些實施例中,第一表面包括導電表面。在一些實施例中,第一表面包括一或更多氮化金屬。在一些實施例中,第一表面可能包括導體閘極表面與/或間隙壁表面。例如在一些實施例中,第一表面可能包括氮化鈦閘極與氮化矽間隙壁。第二表面較佳者為介電表面。在一些實施例中,介電物質包括二氧化矽。在一些實施例中,第二表面為假性接觸窗覆蓋於源極/汲極區域上。在一些實施例中,二氧化矽假性接觸窗直接覆蓋於源極/汲極區域上。
在一些實施例中半導體基底進行標準閘及拋光製程。一半導體基底可能進行習知的標準金屬閘極取代製程流程,以形成源極、閘極與汲極。在一些實施例中,一半導體基底可能化學機械拋光製程。
根據一些實施例,如步驟402,移除第一表面的一部分的區域以產生凹陷。在一些實施例中,被移除之第一表面的一部分為氮化金屬。在一些實施例中,被移除之部分第一表面為氮化矽。在一些實施例中,被移除之第一表面的一部分可能包括間隙壁,如氮化矽間隙壁。在一些實施例中,約0.1nm至約30nm之部份第一表面區域被移除,以產生深度約0.1nm至約30nm的凹陷。在一些實施例中,約0.1nm至約20nm之第一表面的一部份被移 除,以產生深度約0.1nm至約20nm的凹陷。在一些實施例中,約1nm至約10nm之第一表面的一部份被移除,以產生深度約1nm至約10nm的凹陷。
含鋁與氮保護層或蝕刻終止層選擇性沉積於基底的第一表面上,其相對於第二表面,如步驟403。在一些實施例中,含鋁與氮保護物質形成於氮化鈦閘極與氮化矽間隙壁之上。在一些實施例中,含鋁與氮保護物質形成於氮化鈦閘極與氮化矽間隙壁之上。在一些實施例中,含鋁與氮保護物質直接形成於氮化鈦閘極與氮化矽間隙壁之上。
在一些實施例中,沉積含鋁與氮保護層,其利用所述之原子層沉積製程。在一些實施例中,基底交替與依序接觸含鋁第一前驅物與含氮第二前驅物。在一些實施例中,含鋁與氮保護層包括氮化鋁。在一些實施例中,含鋁與氮保護層包括氮化鋁薄膜。
在一些實施例中,沉積含鋁與氮保護層於基底的第一表面,其相對於基底的第二表面至少為約90%的選擇性,至少為約95%的選擇性,至少為約96%、97%、98%、99%或更高的選擇性。在一些實施例中,含鋁與氮物質的沉積僅發生於第一表面上而不發生於第二表面上。在一些實施例中,基底的第一表面的沉積選擇性至少約80%,或至少約50%,其相對於基底的第二表面。
在一些實施例中,含鋁與氮保護層或蝕刻終止層沉積於基底的第一表面以達到特定厚度。適當的厚度可能大於或等於約0.1nm,並且低於或等於10nm。在一些實施例中,厚度為約0.1nm 至約5nm之間。在一些實施例中,厚度為約1nm至約5nm之間。在一些實施例中,厚度為約1nm至約3nm之間。在一些實施例中,厚度為約2nm至約3nm之間。適當的厚度可能大於或等於約0.1nm,並且低於或等於10nm。在一些實施例中,合適的厚度將是一個實現在基底表面上形成一個完整的膜層(即沒有留下間隙)。因此,實際的厚度達到一個完整層可取決於形成含鋁與氮物質之前驅物種類。
步驟404中,基底的第二表面被移除,例如使用濕式蝕刻製程。在一些實施例中,基底的第二表面被稀釋氫氟酸蝕刻移除。例如在一些實施例中,基底的第一與第二表面曝露於稀釋氫氟酸,基底的第二表面被移除,而含鋁與氮保護層保護了其下方的閘極與間隙壁。含鋁與氮保護層的功能為蝕刻終止層,因為所述之具有的濕式蝕刻速率低於第二介電表面。形成一接觸窗於基底源極/汲極區域上,取代現在被移除之第二表面。在一些實施例中,接觸窗可能直接形成覆蓋於源極/汲極區域上。在一些實施例中,接觸窗矽化物質或含鈦物質,例如鈦或氮化鈦。根據一些實施例,接觸窗可能藉由習知方式或未來的開發形成,如鈦接觸窗可由物理氣相沉積(PVD)形成,或氮化鈦接觸窗可由原子層沉積(ALD)製程形成。
在一些實施例中,形成一個或複數個接觸窗後,基底可選擇是否執行下一步製程或處理步驟。
範例1
在此實施範例中,氮化鋁選擇性沉積於基底的第一表面上,其相對基底的第二不同表面。在此實施範例中,基底的第一表面包括利用原子層沉積製程沉積之氮化鈦,與利用電漿輔助原子層沉積(PEALD)製程沉積之二氧化矽第二表面。原子層沉積製程沉積之氮化鋁薄膜樣本,使用三甲基鋁作為第一前驅物與使用氨為第二前驅物。每一沉積週期溫度為375℃,與反應腔壓力2torr。每一沉積週期第一前驅物脈衝為0.5秒,與第二前驅物脈衝為2秒。每一次三甲基鋁脈衝後清潔反應腔3秒,及每一次氨脈衝後清潔反應腔2秒。
樣本利用原子層沉積製程,沉積週期30至70次形成。如圖5,測量沉積於第一氮化鈦表面上之物質厚度,並比較第二二氧化矽表面上之物質厚度。第一氮化鈦表面上相對於第二二氧化矽表面上的物質厚度比值定義了沉積製程之選擇性。圖5顯示原子層沉積製程經過70次沉積週期後,氮化鋁沉積於第一表面與第二表面的選擇性比例約為8.5:1,即表示選擇性約89%。
範例2
在此實施範例中,氮化鋁選擇性沉積於基底的第一表面上,其相對基底的第二不同表面。在此實施範例中,基底的第一表面包括利用原子層沉積製程沉積之氮化鈦,與利用電漿輔助原子層沉積(PEALD)製程沉積之第二二氧化矽表面。更進一步,樣本 為氮化鋁選擇性沉積於第一含氮化鈦表面上,其相對於第二表面包括自然氧化矽層,其中氮化鈦則採用原子層沉積製程。原子層沉積法形成之氮化鋁薄膜樣本,使用三甲基鋁作為第一前驅物與使用氨為第二前驅物。每一沉積週期溫度為375℃,與反應腔壓力2torr。每一沉積週期第一前驅物脈衝為0.5秒,與第二前驅物脈衝為1秒。每一次三甲基鋁脈衝後清潔反應腔3秒,及每一次氨脈衝後清潔反應腔2秒。
樣本利用原子層沉積製程,沉積週期70至150次形成。如圖6,測量沉積於第一氮化鈦表面上之物質厚度,並比較第二氧化矽與自然氧化層表面上之物質厚度。圖6顯示經過130次原子層沉積週期後,氮化鋁沉積於第一表面與第二PEALD氧化矽表面的選擇性比例約為43:1,即選擇性約98%。而經過110次原子層沉積週期後,氮化鋁沉積於第一表面與第二自然矽氧化層表面的選擇性比例約為3:1,即選擇性約75%。
範例3
在此實施範例中,氮化鋁選擇性沉積於基底的第一表面上,其相對基底的第二不同表面。基底的第一表面包括利用原子層沉積製程沉積之氮化鈦,與基底的第二表面包括自然氧化矽。於沉積氮化鋁之前,基底進行電漿處理製程。基底曝露於直接電漿,電漿產生功率為50瓦,處理為10秒,電漿由氧氣產生。
氮化鋁薄膜樣本由原子層沉積製程形成,使用三甲基鋁 作為第一前驅物與使用氨為第二前驅物。每一沉積週期溫度為375℃,與反應腔壓力為2torr。每一沉積週期第一前驅物脈衝為0.5秒,與第二前驅物脈衝為1秒。每一次三甲基鋁脈衝後清潔反應腔3秒,及每一次氨脈衝後清潔反應腔2秒。樣本為利用原子層沉積製程,經過110次沉積週期作成。
如圖7,測量沉積於第一經電漿處理氮化鈦表面上之物質厚度,並比較沉積於第二經電漿處理之自然氧化層表面上之物質厚度。圖7也顯示了如範例2的樣本數據。當進行110次沉積週期後,比較氮化鋁沉積於第一氮化鈦表面與第一經電漿處理之氮化鈦表面的厚度,沒有明顯變化。然而,當進行110次沉積週期後,比較氮化鋁沉積於第二自然氧化表面與第二經電漿處理之自然氧化表面的厚度,氮化鋁厚度有明顯下降。經電漿處理後,沉積在第二表面大致上不明顯,其相對於第一表面。當進行110次沉積週期後,氮化鋁沉積於第一經電漿處理之氮化鈦表面,與於第二經電漿處理之自然矽氧化層表面的選擇性比例約為33:1,相較於沒有執行電漿處理製程,選擇性沉積之選擇性改善超過10倍。
更進一步,樣本為第一含氮化鈦表面其相對於第二自然矽氧化層表面,其中氮化鈦則採用原子層沉積製程。沉積氮化鋁之前基底會執行電漿處理製程。基底曝露於直接電漿,電漿產生功率為50瓦至300瓦,處理時間為3秒至10秒之間,電漿由氧氣產生。氮化鋁沉積於每一基底的第一表面上的物質厚度與沉積於第二表面上的物質厚度進行比較,並計算每一樣本的選擇性。 結果如表1所示。
Figure 105124529-A0305-02-0043-1
從這些結果,直接電漿前處理的功率降低,觀察到的氮化鋁沉積的選擇性增加,而觀察到的選擇性隨著直接電漿曝露時間增加而增加。
範例4
在此實施範例中,氮化鋁選擇性沉積於基底的第一表面上其相對於基底的第二不同表面。在此實施範例中,基底的第一表面包括利用原子層沉積製程沉積之氮化鈦,與基底的第二表面包括利用電漿輔助原子層沉積製程沉積之氧化矽。更進一步,樣本為氮化鋁選擇性沉積於第一含氮化鈦表面上,其相對於第二二氧化矽表面,其中氮化鈦則採用原子層沉積製程沉積,二氧化矽則採用電漿輔助原子層沉積製程沉積。原子層沉積製程形成之氮化鋁薄膜樣本,使用三甲基鋁作為第一前驅物與使用氨為第二前驅物。每一沉積週期溫度為390℃,與反應腔壓力為2torr。每一 沉積週期第一前驅物脈衝時間為0.5秒,與第二前驅物脈衝時間為1秒。每一次三甲基鋁脈衝後清潔反應腔時間為5秒,及每一次氨脈衝後清潔反應腔同樣為5秒。
利用原子層沉積製程,沉積週期70至100次形成範例樣本。如圖8所示,計算沉積於第一氮化鈦與鎢表面的物質厚度,與比較沉積於第二氧化矽表面的厚度結果。圖8顯示了氮化鋁沉積於第一表面,包括鎢或氮化鈦其中之一,相對於氧化矽表面具有非常高的沉積選擇性。
100:沉積製程
110、120、130、140、150、160、170:步驟

Claims (30)

  1. 一種沉積製程,用於選擇性沉積含鋁與氮之物質,於一基底的一第一表面上,其相對於相同的該基底包含矽-氧鍵的一第二矽-氧表面,該沉積製程包括一個或多個沉積週期,包括:將該基底的該第一表面及該第二矽-氧表面與一含鋁第一氣相前驅物接觸;將該基底的該第一表面及該第二矽-氧表面與一含氮第二氣相前驅物接觸;以及其中該物質包含鋁與氮物質是以大於50%的選擇性,沉積於該基底的該第一表面,相對於相同的該基底的該第二矽-氧表面。
  2. 如申請專利範圍第1項所述之沉積製程,其中該第一表面包括銅、氮化鈦、鎢與氮化矽的至少其一。
  3. 如申請專利範圍第1項所述之沉積製程,其中該含鋁與氮物質為氮化鋁薄膜。
  4. 如申請專利範圍第3項所述之沉積製程,其中包含該氮化鋁薄膜的該物質包括氧。
  5. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物為有機金屬鋁化合物。
  6. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物不包括鋁以外之金屬。
  7. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物有化學式R3Al,其中每一R可為獨立選自C1~C4烷基。
  8. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物不包括鹵化物。
  9. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物包括一個氯配位體與至少兩個烷基配位體。
  10. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物包括至少一氫配位體與至少一烷基配位體。
  11. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物不包括氮、矽或氧。
  12. 如申請專利範圍第1項所述之沉積製程,其中該含鋁第一氣相前驅物包括三乙基鋁,三甲基鋁或三叔丁基鋁,該含氮第二氣相前驅物含有氨。
  13. 如申請專利範圍第1項所述之沉積製程,其中該第二矽-氧表面為介電物質。
  14. 如申請專利範圍第1項所述之沉積製程,其中該第二矽-氧表面的電阻係數大於1ohm.m。
  15. 如申請專利範圍第1項所述之沉積製程,其中該沉積製程包括熱原子層沉積製程。
  16. 如申請專利範圍第1項所述之沉積製程,其中該沉積製程在至少連續兩次沉積週期中不包括電漿。
  17. 如申請專利範圍第1項所述之沉積製程,更包括於第一次沉積週期前,將該基底曝露於前處理反應物。
  18. 如申請專利範圍第17項所述之沉積製程,其中該前處理反應物包括電漿。
  19. 如申請專利範圍第1項所述之沉積製程,更包括於至少一次沉積週期後,將該基底曝露於電漿。
  20. 如申請專利範圍第1項所述之沉積製程,其中在稀釋氫氟酸下,該含鋁與氮物質具有相對於氧化矽的蝕刻選擇性。
  21. 如申請專利範圍第1項所述之沉積製程,其中該含鋁與氮物質沉積於該基底的該第一表面相對於相同該基底的該第二矽-氧表面的沉積比大於10:1,以及其中該含鋁與氮物質沉積於該基底的該第一表面的厚度大於5奈米。
  22. 如申請專利範圍第1項所述之沉積製程,其中於同基底上,當該含鋁與氮物質第一表面上的厚度大於1奈米,該含鋁與氮物質沉積於該第一表面相對於該第二矽-氧表面之沉積比大於10:1。
  23. 如申請專利範圍第1項所述之沉積製程,其中該含鋁與氮物質沉積於該第一表面相對於相同該基底的該第二矽-氧表面之沉積比大於10:1,該沉積製程包括1至25次之間的沉積週期。
  24. 如申請專利範圍第1項所述之沉積製程,其中該含鋁與氮物質沉積於該第一表面相對於相同該基底的該第二矽-氧表面之沉積比大於10:1,該沉積製程包括1至150次之間的沉積週期。
  25. 如申請專利範圍第1項所述之沉積製程,其中1至25次之間的沉積週期後,該含鋁與氮物質沉積於該基底的該第二矽-氧表面的厚度少於0.1奈米。
  26. 如申請專利範圍第1項所述之沉積製程,其中沉積的該含鋁與氮物質的濕式蝕刻速率與氧化矽的濕式蝕刻速率的比率少於1:5。
  27. 如申請專利範圍第1項所述之沉積製程,其中該基底的該第二矽-氧表面覆蓋於該源極/汲極區域上,更包括:移除該基底的該第二矽-氧表面,使該基底之該源極/汲極區域裸露;以及形成接觸窗於裸露的該基底的該源極/汲極區域上方。
  28. 一種沉積製程,用以選擇性沉積,含鋁與氮物質於基底的第一表面,其相對於相同該基底的第二介電表面,該沉積製程包括一次或多次沉積週期,包括:將該基底的該第一表面及該第二介電表面與含鋁第一氣相前驅物接觸;將該基底的該第一表面及該第二介電表面與含氮第二氣相前驅物接觸;以及其中該含鋁與氮物質是以大於50%的選擇性,沉積於該基底的該第一表面,其相對於相同的該基底的該第二介電表面。
  29. 一種沉積製程,用以沉積氮化鋁於一基底上,該沉積製程包括至少一個沉積週期,包括: 將該基底交替式與依序式接觸於氣相三叔丁基鋁與氣相氨。
  30. 一種沉積製程,用以形成自我對準接觸窗形成製程中的蝕刻終止層,包括:提供一半導體基底,該基底更包括一第一表面與一第二介電表面覆蓋於一源極/汲極區域上;移除該基底的該第一表面的一部份並形成一凹陷於其中;選擇性沉積含鋁與氮物質於該第一表面上,其相對於該第二介電表面;移除該基底的該第二介電表面並裸露該源極/汲極區域;以及形成接觸窗於裸露的該基底的該源極/汲極區域上方。
TW105124529A 2015-08-05 2016-08-03 含鋁與氮之物質的選擇性沈積 TWI708858B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/819,274 2015-08-05
US14/819,274 US10566185B2 (en) 2015-08-05 2015-08-05 Selective deposition of aluminum and nitrogen containing material

Publications (2)

Publication Number Publication Date
TW201718923A TW201718923A (zh) 2017-06-01
TWI708858B true TWI708858B (zh) 2020-11-01

Family

ID=58053516

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105124529A TWI708858B (zh) 2015-08-05 2016-08-03 含鋁與氮之物質的選擇性沈積

Country Status (4)

Country Link
US (2) US10566185B2 (zh)
JP (1) JP6813983B2 (zh)
KR (1) KR20170017779A (zh)
TW (1) TWI708858B (zh)

Families Citing this family (343)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10814349B2 (en) 2015-10-09 2020-10-27 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
CN105609603A (zh) * 2016-03-02 2016-05-25 厦门乾照光电股份有限公司 一种具有复合结构的氮化物缓冲层
US9981286B2 (en) 2016-03-08 2018-05-29 Asm Ip Holding B.V. Selective formation of metal silicides
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) * 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
KR102287788B1 (ko) * 2017-02-14 2021-08-10 에이에스엠 아이피 홀딩 비.브이. 알루미늄 및 질소 함유 재료의 선택적 증착
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10559461B2 (en) * 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10373906B2 (en) * 2017-04-20 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102631150B1 (ko) * 2017-05-15 2024-01-29 도쿄엘렉트론가부시키가이샤 첨단 패턴화 적용을 위한 원위치의 선택적 증착 및에칭
US11170993B2 (en) * 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102401446B1 (ko) 2017-08-31 2022-05-24 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US11276572B2 (en) * 2017-12-08 2022-03-15 Tokyo Electron Limited Technique for multi-patterning substrates
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW201943881A (zh) * 2018-04-13 2019-11-16 美商應用材料股份有限公司 選擇性原子層沉積的方法
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
KR20190129718A (ko) 2018-05-11 2019-11-20 에이에스엠 아이피 홀딩 비.브이. 기판 상에 피도핑 금속 탄화물 막을 형성하는 방법 및 관련 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210024462A (ko) 2018-06-27 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11450525B2 (en) * 2018-09-14 2022-09-20 Applied Materials, Inc. Selective aluminum oxide film deposition
US10978337B2 (en) * 2018-09-18 2021-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Aluminum-containing layers and methods of forming the same
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11769692B2 (en) 2018-10-31 2023-09-26 Taiwan Semiconductor Manufacturing Co., Ltd. High breakdown voltage inter-metal dielectric layer
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
JP2020133004A (ja) 2019-02-22 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材を処理するための基材処理装置および方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188254A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210050453A (ko) 2019-10-25 2021-05-07 에이에스엠 아이피 홀딩 비.브이. 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951760B (zh) * 2019-11-26 2022-06-24 长鑫存储技术有限公司 存储器及其形成方法
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
JP2021109175A (ja) 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US11993847B2 (en) 2020-01-08 2024-05-28 Asm Ip Holding B.V. Injector
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11532517B2 (en) 2020-02-04 2022-12-20 Tokyo Electron Limited Localized etch stop layer
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
TW202203344A (zh) 2020-02-28 2022-01-16 荷蘭商Asm Ip控股公司 專用於零件清潔的系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
US11996289B2 (en) 2020-04-16 2024-05-28 Asm Ip Holding B.V. Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods
CN111364017B (zh) * 2020-04-20 2022-04-22 国家纳米科学中心 一种氮化铝薄膜及其制备方法和用途
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202200837A (zh) 2020-05-22 2022-01-01 荷蘭商Asm Ip私人控股有限公司 用於在基材上形成薄膜之反應系統
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition

Family Cites Families (230)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4804640A (en) * 1985-08-27 1989-02-14 General Electric Company Method of forming silicon and aluminum containing dielectric film and semiconductor device including said film
US4948755A (en) 1987-10-08 1990-08-14 Standard Microsystems Corporation Method of manufacturing self-aligned conformal metallization of semiconductor wafer by selective metal deposition
US4863879A (en) 1987-12-16 1989-09-05 Ford Microelectronics, Inc. Method of manufacturing self-aligned GaAs MESFET
JPH0485024A (ja) 1990-07-30 1992-03-18 Mitsubishi Gas Chem Co Inc 銅張積層板の製造法
DE4115872A1 (de) 1991-05-15 1992-11-19 Basf Ag Verfahren zur herstellung duenner polyimidschutzschichten auf keramischen supraleitern oder hochtemperatursupraleitern
JP3048749B2 (ja) 1992-04-28 2000-06-05 キヤノン株式会社 薄膜形成方法
US5447887A (en) 1994-04-01 1995-09-05 Motorola, Inc. Method for capping copper in semiconductor devices
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
US5633036A (en) 1995-04-21 1997-05-27 The Board Of Trustees Of The University Of Illinois Selective low temperature chemical vapor deposition of titanium disilicide onto silicon regions
US5925494A (en) 1996-02-16 1999-07-20 Massachusetts Institute Of Technology Vapor deposition of polymer films for photolithography
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5939334A (en) 1997-05-22 1999-08-17 Sharp Laboratories Of America, Inc. System and method of selectively cleaning copper substrate surfaces, in-situ, to remove copper oxides
US5869135A (en) 1997-10-03 1999-02-09 Massachusetts Institute Of Technology Selective chemical vapor deposition of polymers
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
US20060219157A1 (en) 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR20010001072A (ko) 1999-06-01 2001-01-05 부원영 네트웍을 이용한 온라인 축구 게임 및 그 방법
US6046108A (en) 1999-06-25 2000-04-04 Taiwan Semiconductor Manufacturing Company Method for selective growth of Cu3 Ge or Cu5 Si for passivation of damascene copper structures and device manufactured thereby
US7015271B2 (en) 1999-08-19 2006-03-21 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
WO2001012731A1 (en) 1999-08-19 2001-02-22 Ppg Industries Ohio, Inc. Hydrophobic particulate inorganic oxides and polymeric compositions containing same
US6319635B1 (en) 1999-12-06 2001-11-20 The Regents Of The University Of California Mitigation of substrate defects in reticles using multilayer buffer layers
US6503330B1 (en) 1999-12-22 2003-01-07 Genus, Inc. Apparatus and method to achieve continuous interface and ultrathin film during atomic layer deposition
US6455425B1 (en) 2000-01-18 2002-09-24 Advanced Micro Devices, Inc. Selective deposition process for passivating top interface of damascene-type Cu interconnect lines
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US6679951B2 (en) 2000-05-15 2004-01-20 Asm Intenational N.V. Metal anneal with oxidation prevention
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
US6878628B2 (en) 2000-05-15 2005-04-12 Asm International Nv In situ reduction of copper oxide prior to silicon carbide deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US7494927B2 (en) 2000-05-15 2009-02-24 Asm International N.V. Method of growing electrical conductors
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7030551B2 (en) 2000-08-10 2006-04-18 Semiconductor Energy Laboratory Co., Ltd. Area sensor and display apparatus provided with an area sensor
US7294563B2 (en) 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
JP4095763B2 (ja) 2000-09-06 2008-06-04 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6455414B1 (en) 2000-11-28 2002-09-24 Tokyo Electron Limited Method for improving the adhesion of sputtered copper films to CVD transition metal based underlayers
WO2002045167A2 (en) 2000-11-30 2002-06-06 Asm International N.V. Thin films for magnetic devices
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US7192827B2 (en) * 2001-01-05 2007-03-20 Micron Technology, Inc. Methods of forming capacitor structures
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP4921652B2 (ja) 2001-08-03 2012-04-25 エイエスエム インターナショナル エヌ.ヴェー. イットリウム酸化物およびランタン酸化物薄膜を堆積する方法
JP2003109941A (ja) * 2001-09-28 2003-04-11 Canon Inc プラズマ処理装置および表面処理方法
KR20030027392A (ko) 2001-09-28 2003-04-07 삼성전자주식회사 티타늄 실리사이드 박막 형성방법
TW508648B (en) 2001-12-11 2002-11-01 United Microelectronics Corp Method of reducing the chamber particle level
US6809026B2 (en) 2001-12-21 2004-10-26 Applied Materials, Inc. Selective deposition of a barrier layer on a metal film
US20030192090P1 (en) 2002-04-03 2003-10-09 Meilland Alain A. Hybrid tea rose plant named 'Meibderos'
US6586330B1 (en) 2002-05-07 2003-07-01 Tokyo Electron Limited Method for depositing conformal nitrified tantalum silicide films by thermal CVD
KR100459724B1 (ko) 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US6982230B2 (en) 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
KR102220703B1 (ko) 2002-11-15 2021-02-26 프레지던트 앤드 펠로우즈 오브 하바드 칼리지 금속 아미디네이트를 이용한 원자층 증착법
US7553686B2 (en) 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
KR20040056026A (ko) 2002-12-23 2004-06-30 주식회사 하이닉스반도체 구리 배선의 캐핑층 형성 방법
US7115528B2 (en) 2003-04-29 2006-10-03 Micron Technology, Inc. Systems and method for forming silicon oxide layers
US7914847B2 (en) 2003-05-09 2011-03-29 Asm America, Inc. Reactor surface passivation through chemical deactivation
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
KR101090895B1 (ko) 2003-05-09 2011-12-08 에이에스엠 아메리카, 인코포레이티드 화학적 비활성화를 통한 반응기 표면의 패시베이션
US6811448B1 (en) 2003-07-15 2004-11-02 Advanced Micro Devices, Inc. Pre-cleaning for silicidation in an SMOS process
US7067407B2 (en) 2003-08-04 2006-06-27 Asm International, N.V. Method of growing electrical conductors
US7323411B1 (en) 2003-09-26 2008-01-29 Cypress Semiconductor Corporation Method of selective tungsten deposition on a silicon surface
US7375033B2 (en) 2003-11-14 2008-05-20 Micron Technology, Inc. Multi-layer interconnect with isolation layer
US7207096B2 (en) 2004-01-22 2007-04-24 International Business Machines Corporation Method of manufacturing high performance copper inductors with bond pads
US7405143B2 (en) 2004-03-25 2008-07-29 Asm International N.V. Method for fabricating a seed layer
US7309395B2 (en) 2004-03-31 2007-12-18 Dielectric Systems, Inc. System for forming composite polymer dielectric film
TW200539321A (en) 2004-05-28 2005-12-01 Applied Materials Inc Method for improving high density plasmachemical vapor deposition process
US20060019493A1 (en) 2004-07-15 2006-01-26 Li Wei M Methods of metallization for microelectronic devices utilizing metal oxide
TW200619222A (en) * 2004-09-02 2006-06-16 Rohm & Haas Elect Mat Method for making organometallic compounds
US8882914B2 (en) 2004-09-17 2014-11-11 Intermolecular, Inc. Processing substrates using site-isolated processing
US7476618B2 (en) 2004-10-26 2009-01-13 Asm Japan K.K. Selective formation of metal layers in an integrated circuit
US7160583B2 (en) 2004-12-03 2007-01-09 3M Innovative Properties Company Microfabrication using patterned topography and self-assembled monolayers
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
JP4258515B2 (ja) 2005-02-04 2009-04-30 パナソニック株式会社 回折素子、回折素子の製造方法、光ピックアップ装置および光ディスク装置
US20060199399A1 (en) 2005-02-22 2006-09-07 Muscat Anthony J Surface manipulation and selective deposition processes using adsorbed halogen atoms
US7666773B2 (en) 2005-03-15 2010-02-23 Asm International N.V. Selective deposition of noble metal thin films
US7488967B2 (en) 2005-04-06 2009-02-10 International Business Machines Corporation Structure for confining the switching current in phase memory (PCM) cells
US7425350B2 (en) 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US7402519B2 (en) 2005-06-03 2008-07-22 Intel Corporation Interconnects having sealing structures to enable selective metal capping layers
KR100695876B1 (ko) 2005-06-24 2007-03-19 삼성전자주식회사 오버레이 키 및 그 형성 방법, 오버레이 키를 이용하여형성된 반도체 장치 및 그 제조 방법.
US20070014919A1 (en) 2005-07-15 2007-01-18 Jani Hamalainen Atomic layer deposition of noble metal oxides
US8771804B2 (en) 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20070099422A1 (en) 2005-10-28 2007-05-03 Kapila Wijekoon Process for electroless copper deposition
GB2432363B (en) 2005-11-16 2010-06-23 Epichem Ltd Hafnocene and zirconocene precursors, and use thereof in atomic layer deposition
US7595271B2 (en) 2005-12-01 2009-09-29 Asm America, Inc. Polymer coating for vapor deposition tool
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP5032145B2 (ja) 2006-04-14 2012-09-26 株式会社東芝 半導体装置
FR2900276B1 (fr) 2006-04-25 2008-09-12 St Microelectronics Sa Depot peald d'un materiau a base de silicium
EP2029790A1 (en) 2006-06-02 2009-03-04 L'AIR LIQUIDE, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method of forming high-k dielectric films based on novel titanium, zirconium, and hafnium precursors and their use for semiconductor manufacturing
US9245739B2 (en) 2006-11-01 2016-01-26 Lam Research Corporation Low-K oxide deposition by hydrolysis and condensation
US7790631B2 (en) 2006-11-21 2010-09-07 Intel Corporation Selective deposition of a dielectric on a self-assembled monolayer-adsorbed metal
US8205625B2 (en) 2006-11-28 2012-06-26 Ebara Corporation Apparatus and method for surface treatment of substrate, and substrate processing apparatus and method
DE102007004867B4 (de) * 2007-01-31 2009-07-30 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erhöhen der Zuverlässigkeit von kupferbasierten Metallisierungsstrukturen in einem Mikrostrukturbauelement durch Anwenden von Aluminiumnitrid
US20080241575A1 (en) 2007-03-28 2008-10-02 Lavoie Adrein R Selective aluminum doping of copper interconnects and structures formed thereby
KR20100072021A (ko) 2007-09-14 2010-06-29 시그마-알드리치컴퍼니 하프늄과 지르코늄계 전구체를 이용한 원자층 증착에 의한 박막의 제조 방법
JP2009076590A (ja) 2007-09-19 2009-04-09 Hitachi Kokusai Electric Inc クリーニング方法
CN101883877A (zh) 2007-11-06 2010-11-10 Hcf合伙人股份两合公司 原子层沉积法
WO2009102363A2 (en) 2007-11-15 2009-08-20 Stc.Unm Ultra-thin microporous/hybrid materials
KR100920033B1 (ko) 2007-12-10 2009-10-07 (주)피앤테크 에스아이오씨 박막 제조용 프리커서를 이용한 박막 형성방법
US9217200B2 (en) 2007-12-21 2015-12-22 Asm International N.V. Modification of nanoimprint lithography templates by atomic layer deposition
JP5198106B2 (ja) 2008-03-25 2013-05-15 東京エレクトロン株式会社 成膜装置、及び成膜方法
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8114301B2 (en) 2008-05-02 2012-02-14 Micron Technology, Inc. Graphoepitaxial self-assembly of arrays of downward facing half-cylinders
WO2010009295A2 (en) 2008-07-16 2010-01-21 Applied Materials, Inc. Hybrid heterojunction solar cell fabrication using a metal layer mask
US7951637B2 (en) 2008-08-27 2011-05-31 Applied Materials, Inc. Back contact solar cells using printed dielectric barrier
US8425739B1 (en) 2008-09-30 2013-04-23 Stion Corporation In chamber sodium doping process and system for large scale cigs based thin film photovoltaic materials
KR20110084275A (ko) 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
EP2361445A4 (en) 2008-12-01 2012-07-04 Du Pont ANODE FOR AN ORGANIC ELECTRONIC DEVICE
US20100147396A1 (en) 2008-12-15 2010-06-17 Asm Japan K.K. Multiple-Substrate Transfer Apparatus and Multiple-Substrate Processing Apparatus
US9379011B2 (en) 2008-12-19 2016-06-28 Asm International N.V. Methods for depositing nickel films and for making nickel silicide and nickel germanide
US7927942B2 (en) 2008-12-19 2011-04-19 Asm International N.V. Selective silicide process
US8242019B2 (en) 2009-03-31 2012-08-14 Tokyo Electron Limited Selective deposition of metal-containing cap layers for semiconductor devices
US8071452B2 (en) 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US20100314765A1 (en) 2009-06-16 2010-12-16 Liang Wen-Ping Interconnection structure of semiconductor integrated circuit and method for making the same
JP5359642B2 (ja) 2009-07-22 2013-12-04 東京エレクトロン株式会社 成膜方法
MX2012001115A (es) 2009-07-31 2012-03-21 Akzo Nobel Chemicals Int Bv Proceso para la preparacion de un sustrato recubierto, el sustrato recubierto, y uso del mismo.
KR101129090B1 (ko) 2009-09-01 2012-04-13 성균관대학교산학협력단 패턴화된 세포 배양용 기판의 제조방법, 패턴화된 세포 배양용 기판, 세포의 패턴화된 배양 방법, 및 패턴화된 세포칩
US8318249B2 (en) 2009-11-20 2012-11-27 Eastman Kodak Company Method for selective deposition and devices
US8481355B2 (en) 2009-12-15 2013-07-09 Primestar Solar, Inc. Modular system and process for continuous deposition of a thin film layer on a substrate
US8562750B2 (en) 2009-12-17 2013-10-22 Lam Research Corporation Method and apparatus for processing bevel edge
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP5222864B2 (ja) 2010-02-17 2013-06-26 株式会社ジャパンディスプレイイースト 液晶表示装置の製造方法
JP5373669B2 (ja) 2010-03-05 2013-12-18 東京エレクトロン株式会社 半導体装置の製造方法
US8178439B2 (en) 2010-03-30 2012-05-15 Tokyo Electron Limited Surface cleaning and selective deposition of metal-containing cap layers for semiconductor devices
TWI529808B (zh) 2010-06-10 2016-04-11 Asm國際股份有限公司 使膜選擇性沈積於基板上的方法
US20110311726A1 (en) 2010-06-18 2011-12-22 Cambridge Nanotech Inc. Method and apparatus for precursor delivery
KR101386944B1 (ko) 2010-07-01 2014-04-18 도쿄엘렉트론가부시키가이샤 반도체 장치의 제조 방법
US8357608B2 (en) 2010-08-09 2013-01-22 International Business Machines Corporation Multi component dielectric layer
US9487600B2 (en) 2010-08-17 2016-11-08 Uchicago Argonne, Llc Ordered nanoscale domains by infiltration of block copolymers
US8945305B2 (en) 2010-08-31 2015-02-03 Micron Technology, Inc. Methods of selectively forming a material using parylene coating
TW201224190A (en) 2010-10-06 2012-06-16 Applied Materials Inc Atomic layer deposition of photoresist materials and hard mask precursors
JP5562434B2 (ja) 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
US20120219824A1 (en) 2011-02-28 2012-08-30 Uchicago Argonne Llc Atomic layer deposition of super-conducting niobium silicide
US8980418B2 (en) 2011-03-24 2015-03-17 Uchicago Argonne, Llc Sequential infiltration synthesis for advanced lithography
JP2012209393A (ja) 2011-03-29 2012-10-25 Tokyo Electron Ltd クリーニング方法及び成膜方法
US8871617B2 (en) 2011-04-22 2014-10-28 Asm Ip Holding B.V. Deposition and reduction of mixed metal oxide thin films
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
TWI541938B (zh) 2011-06-03 2016-07-11 諾菲勒斯系統公司 用於互連的含金屬及矽覆蓋層
KR20130007059A (ko) 2011-06-28 2013-01-18 삼성전자주식회사 반도체 장치의 제조 방법
EP2557132B1 (en) 2011-08-10 2018-03-14 3M Innovative Properties Company Multilayer adhesive film, in particular for bonding optical sensors
CN102332395B (zh) 2011-09-23 2014-03-05 复旦大学 一种选择性淀积栅氧和栅电极的方法
JP6202798B2 (ja) 2011-10-12 2017-09-27 エーエスエム インターナショナル エヌ.ヴェー.Asm International N.V. 酸化アンチモン膜の原子層堆積
TWI627303B (zh) 2011-11-04 2018-06-21 Asm國際股份有限公司 將摻雜氧化矽沉積在反應室內的基底上的方法
KR20130056608A (ko) * 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US20130157409A1 (en) 2011-12-16 2013-06-20 Kaushik Vaidya Selective atomic layer deposition of passivation layers for silicon-based photovoltaic devices
US8623468B2 (en) 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US9238865B2 (en) 2012-02-06 2016-01-19 Asm Ip Holding B.V. Multiple vapor sources for vapor deposition
JP6020239B2 (ja) 2012-04-27 2016-11-02 東京エレクトロン株式会社 成膜方法及び成膜装置
JP5966618B2 (ja) 2012-05-28 2016-08-10 東京エレクトロン株式会社 成膜方法
JP5862459B2 (ja) 2012-05-28 2016-02-16 東京エレクトロン株式会社 成膜方法
US20130323930A1 (en) 2012-05-29 2013-12-05 Kaushik Chattopadhyay Selective Capping of Metal Interconnect Lines during Air Gap Formation
US11037923B2 (en) 2012-06-29 2021-06-15 Intel Corporation Through gate fin isolation
JP6040609B2 (ja) 2012-07-20 2016-12-07 東京エレクトロン株式会社 成膜装置及び成膜方法
US8890264B2 (en) 2012-09-26 2014-11-18 Intel Corporation Non-planar III-V field effect transistors with conformal metal gate electrode and nitrogen doping of gate dielectric interface
US9099490B2 (en) 2012-09-28 2015-08-04 Intel Corporation Self-aligned structures and methods for asymmetric GaN transistors and enhancement mode operation
JP2014093331A (ja) 2012-10-31 2014-05-19 Tokyo Electron Ltd 重合膜の成膜方法、成膜装置の環境維持方法、成膜装置、並びに電子製品の製造方法
US9330899B2 (en) 2012-11-01 2016-05-03 Asm Ip Holding B.V. Method of depositing thin film
US8963135B2 (en) 2012-11-30 2015-02-24 Intel Corporation Integrated circuits and systems and methods for producing the same
US8993404B2 (en) 2013-01-23 2015-03-31 Intel Corporation Metal-insulator-metal capacitor formation techniques
US9566609B2 (en) 2013-01-24 2017-02-14 Corning Incorporated Surface nanoreplication using polymer nanomasks
JP5949586B2 (ja) 2013-01-31 2016-07-06 東京エレクトロン株式会社 原料ガス供給装置、成膜装置、原料の供給方法及び記憶媒体
US20140227461A1 (en) 2013-02-14 2014-08-14 Dillard University Multiple Beam Pulsed Laser Deposition Of Composite Films
US10573511B2 (en) 2013-03-13 2020-02-25 Asm Ip Holding B.V. Methods for forming silicon nitride thin films
US9564309B2 (en) 2013-03-14 2017-02-07 Asm Ip Holding B.V. Si precursors for deposition of SiN at low temperatures
US9147574B2 (en) 2013-03-14 2015-09-29 Tokyo Electron Limited Topography minimization of neutral layer overcoats in directed self-assembly applications
US20140273290A1 (en) 2013-03-15 2014-09-18 Tokyo Electron Limited Solvent anneal processing for directed-self assembly applications
US9159558B2 (en) 2013-03-15 2015-10-13 International Business Machines Corporation Methods of reducing defects in directed self-assembled structures
US9209014B2 (en) 2013-03-15 2015-12-08 Tokyo Electron Limited Multi-step bake apparatus and method for directed self-assembly lithography control
JP2014188656A (ja) 2013-03-28 2014-10-06 Tokyo Electron Ltd 中空構造体の製造方法
US9552979B2 (en) 2013-05-31 2017-01-24 Asm Ip Holding B.V. Cyclic aluminum nitride deposition in a batch reactor
RU2643931C2 (ru) 2013-06-28 2018-02-06 Интел Корпорейшн Устройства, основанные на избирательно эпитаксиально выращенных материалах iii-v групп
US9017526B2 (en) 2013-07-08 2015-04-28 Lam Research Corporation Ion beam etching system
US9362163B2 (en) 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
JP6111171B2 (ja) 2013-09-02 2017-04-05 東京エレクトロン株式会社 成膜方法及び成膜装置
WO2015042486A1 (en) 2013-09-20 2015-03-26 Baker Hughes Incorporated Composites for use in stimulation and sand control operations
EP3050084A4 (en) 2013-09-27 2017-05-24 Intel Corporation Forming layers of materials over small regions by selective chemical reaction including limiting encroachment of the layers over adjacent regions
US9385033B2 (en) 2013-09-27 2016-07-05 Intel Corporation Method of forming a metal from a cobalt metal precursor
US9067958B2 (en) 2013-10-14 2015-06-30 Intel Corporation Scalable and high yield synthesis of transition metal bis-diazabutadienes
US20150118863A1 (en) 2013-10-25 2015-04-30 Lam Research Corporation Methods and apparatus for forming flowable dielectric films having low porosity
JP2015111563A (ja) 2013-11-06 2015-06-18 Dowaエレクトロニクス株式会社 銅粒子分散液およびそれを用いた導電膜の製造方法
TW201525173A (zh) 2013-12-09 2015-07-01 Applied Materials Inc 選擇性層沉積之方法
US9236292B2 (en) 2013-12-18 2016-01-12 Intel Corporation Selective area deposition of metal films by atomic layer deposition (ALD) and chemical vapor deposition (CVD)
CN106415800B (zh) 2013-12-19 2020-04-14 英特尔公司 自对准栅极边缘和局部互连件及其制造方法
US9455150B2 (en) 2013-12-24 2016-09-27 Intel Corporation Conformal thin film deposition of electropositive metal alloy films
US9895715B2 (en) 2014-02-04 2018-02-20 Asm Ip Holding B.V. Selective deposition of metals, metal oxides, and dielectrics
TWI624515B (zh) 2014-02-10 2018-05-21 國立清華大學 無機-有機複合氧化物聚合體及其製備方法
JP6254459B2 (ja) 2014-02-27 2017-12-27 東京エレクトロン株式会社 重合膜の耐薬品性改善方法、重合膜の成膜方法、成膜装置、および電子製品の製造方法
US20150275355A1 (en) 2014-03-26 2015-10-01 Air Products And Chemicals, Inc. Compositions and methods for the deposition of silicon oxide films
WO2015147843A1 (en) 2014-03-27 2015-10-01 Intel Corporation Precursor and process design for photo-assisted metal atomic layer deposition (ald) and chemical vapor deposition (cvd)
KR102472396B1 (ko) 2014-03-28 2022-12-01 인텔 코포레이션 선택적 에피택셜 성장된 iii-v족 재료 기반 디바이스
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
KR20160031903A (ko) * 2014-09-15 2016-03-23 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
US10062564B2 (en) 2014-12-15 2018-08-28 Tokyo Electron Limited Method of selective gas phase film deposition on a substrate by modifying the surface using hydrogen plasma
US11021630B2 (en) 2014-12-30 2021-06-01 Rohm And Haas Electronic Materials Llc Copolymer formulation for directed self assembly, methods of manufacture thereof and articles comprising the same
KR102185458B1 (ko) 2015-02-03 2020-12-03 에이에스엠 아이피 홀딩 비.브이. 선택적 퇴적
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US9613831B2 (en) 2015-03-25 2017-04-04 Qorvo Us, Inc. Encapsulated dies with enhanced thermal performance
US9777025B2 (en) 2015-03-30 2017-10-03 L'Air Liquide, Société pour l'Etude et l'Exploitation des Procédés Georges Claude Si-containing film forming precursors and methods of using the same
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US9646883B2 (en) 2015-06-12 2017-05-09 International Business Machines Corporation Chemoepitaxy etch trim using a self aligned hard mask for metal line to via
KR102475024B1 (ko) 2015-06-18 2022-12-07 타호 리서치 리미티드 제2 또는 제3 행 전이 금속 박막들의 퇴적을 위한 선천적으로 선택적인 전구체들
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10343186B2 (en) 2015-10-09 2019-07-09 Asm Ip Holding B.V. Vapor phase deposition of organic films
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US20170107413A1 (en) 2015-10-19 2017-04-20 Liang Wang Anti-icing composition driven by catalytic hydrogen generation under subzero temperatures
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9786491B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9786492B2 (en) 2015-11-12 2017-10-10 Asm Ip Holding B.V. Formation of SiOCN thin films
US9349687B1 (en) 2015-12-19 2016-05-24 International Business Machines Corporation Advanced manganese/manganese nitride cap/etch mask for air gap formation scheme in nanocopper low-K interconnect
US20170298503A1 (en) 2016-04-18 2017-10-19 Asm Ip Holding B.V. Combined anneal and selective deposition systems
CN109314045B (zh) 2016-04-18 2023-08-04 Asm Ip 控股有限公司 于基底上形成定向自组装层的方法
US10204782B2 (en) 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10014212B2 (en) 2016-06-08 2018-07-03 Asm Ip Holding B.V. Selective deposition of metallic films
US9803277B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Reaction chamber passivation and selective deposition of metallic films
US9805974B1 (en) 2016-06-08 2017-10-31 Asm Ip Holding B.V. Selective deposition of metallic films
US9972695B2 (en) 2016-08-04 2018-05-15 International Business Machines Corporation Binary metal oxide based interlayer for high mobility channels
US10186420B2 (en) 2016-11-29 2019-01-22 Asm Ip Holding B.V. Formation of silicon-containing thin films
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US9911595B1 (en) 2017-03-17 2018-03-06 Lam Research Corporation Selective growth of silicon nitride
JP6832776B2 (ja) 2017-03-30 2021-02-24 東京エレクトロン株式会社 選択成長方法
JP7249952B2 (ja) 2017-05-05 2023-03-31 エーエスエム アイピー ホールディング ビー.ブイ. 酸素含有薄膜の制御された形成のためのプラズマ増強堆積プロセス
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
US10763108B2 (en) 2017-08-18 2020-09-01 Lam Research Corporation Geometrically selective deposition of a dielectric film
US10283710B2 (en) 2017-09-05 2019-05-07 Sandisk Technologies Llc Resistive random access memory device containing replacement word lines and method of making thereof
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7084060B1 (en) * 2005-05-04 2006-08-01 International Business Machines Corporation Forming capping layer over metal wire structure using selective atomic layer deposition

Also Published As

Publication number Publication date
US10847361B2 (en) 2020-11-24
US20170040164A1 (en) 2017-02-09
US20200343089A1 (en) 2020-10-29
KR20170017779A (ko) 2017-02-15
US10566185B2 (en) 2020-02-18
JP6813983B2 (ja) 2021-01-13
JP2017041632A (ja) 2017-02-23
TW201718923A (zh) 2017-06-01

Similar Documents

Publication Publication Date Title
TWI708858B (zh) 含鋁與氮之物質的選擇性沈積
US10903113B2 (en) Selective deposition of aluminum and nitrogen containing material
JP7300032B2 (ja) 酸化物薄膜の堆積
US10991573B2 (en) Uniform deposition of SiOC on dielectric and metal surfaces
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
CN110408906B (zh) 使用硅氢卤化物前体的SiN的等离子体增强原子层沉积(PEALD)
US20200105515A1 (en) Selective passivation and selective deposition
TWI488990B (zh) 使用鋁烴化合物之金屬碳化物膜的原子層沈積
TW201947641A (zh) 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
JP2018137435A (ja) 選択的パッシベーションおよび選択的堆積
US11894233B2 (en) Electronic device having an oxygen free platinum group metal film
TW201920739A (zh) 沈積鈦鋁薄膜的製程
TW202021046A (zh) 形成具有嵌入式阻障層的穿孔之方法
TW201502309A (zh) 使用二氮丁二烯基前驅物沉積含錳膜之方法
US9236467B2 (en) Atomic layer deposition of hafnium or zirconium alloy films
KR102597990B1 (ko) 알루미늄 및 질소를 포함하는 물질의 선택적 증착 방법
TWI842748B (zh) 選擇性沉積的方法以及用於選擇性沉積的組合工具和系統
JP2024506395A (ja) シリコンベースの誘電体膜の堆積
TW202315118A (zh) 經摻雜之含鉭阻障膜
TW202312300A (zh) 形成用於互連結構的金屬襯墊之方法