CN102197459A - 三元化合物的气相沉积方法 - Google Patents

三元化合物的气相沉积方法 Download PDF

Info

Publication number
CN102197459A
CN102197459A CN2009801429609A CN200980142960A CN102197459A CN 102197459 A CN102197459 A CN 102197459A CN 2009801429609 A CN2009801429609 A CN 2009801429609A CN 200980142960 A CN200980142960 A CN 200980142960A CN 102197459 A CN102197459 A CN 102197459A
Authority
CN
China
Prior art keywords
titanium
plasma
precursor
substrate
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801429609A
Other languages
English (en)
Inventor
赛沙德利·甘古利
斯里尼瓦斯·甘迪科塔
柳尚澔
路易斯·菲利浦·哈基姆
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102197459A publication Critical patent/CN102197459A/zh
Pending legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/05Making the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/011Manufacture or treatment of multistable switching devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/20Multistable switching devices, e.g. memristors
    • H10N70/231Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/821Device geometry
    • H10N70/826Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8825Selenides, e.g. GeSe
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10NELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10N70/00Solid-state devices without a potential-jump barrier or surface barrier, and specially adapted for rectifying, amplifying, oscillating or switching
    • H10N70/801Constructional details of multistable switching devices
    • H10N70/881Switching materials
    • H10N70/882Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
    • H10N70/8828Tellurides, e.g. GeSbTe

Abstract

实施例提供了一种用于在气相沉积工艺如原子层沉积(ALD)或等离子体增强ALD(PE-ALD)期间形成氮化铝钛材料的方法。在一些实施例中,通过将衬底顺次暴露于钛前体和氮等离子体以形成氮化钛层、将氮化钛层暴露于等离子体处理工艺、以及将氮化钛层暴露于铝前体气体同时在其上面沉积铝层,来形成氮化铝钛材料。可重复该工艺多次以沉积多个氮化钛和铝层。随后,可以将衬底退火以由多层形成氮化铝钛材料。在其他实施例中,可以通过将衬底顺次暴露于氮等离子体和包含钛和铝前体的沉积气体,来形成氮化铝钛材料。

Description

三元化合物的气相沉积方法
技术领域
本发明的实施例通常涉及沉积材料的方法,更具体地,涉及用来形成包含三元化合物的材料的气相沉积工艺。
背景技术
在半导体处理、平板显示器处理或其它电子器件处理的领域中,气相沉积工艺在向衬底上沉积材料时担任重要的角色。随着电子器件的几何形状不断缩小并且器件的密度不断增大,各特征的尺寸和纵横比变得更有挑战性,例如0.07μm的特征尺寸以及10或更大的纵横比。因此,用于形成这些器件的材料的共形沉积变得日益重要。
虽然常规的化学气相沉积(CVD)已经证明可成功使器件几何形状和纵横比降低至0.15μm,但是更具挑战性的器件几何形状要求可选择的沉积技术。一种受到相当关注的技术是原子层沉积(ALD)。在传统的ALD工艺中,反应气体被顺次引入到包含衬底的处理室中。
热感ALD工艺是最普通的ALD技术,其使用加热来造成两种反应物之间的化学反应。虽然热ALD工艺对于沉积一些材料效果很好,但是该工艺经常具有很慢的沉积速度。因此,会将制造产量影响到不可以接受的水平。在更高的沉积温度下可以增加沉积速度,但是许多化学前体,尤其是金属有机化合物,在升高的温度下会分解。
通过等离子体增强ALD(PE-ALD)工艺形成材料也是一种已知的技术。在传统PE-ALD工艺的一些实例中,材料可以通过与热ALD工艺相同的化学前体形成,但是具有更高的沉积速度,且在更低的温度下形成。虽然存在多种技术变型,但是通常PE-ALD工艺规定:反应气体和反应等离子体都被顺次引入到包含衬底的处理室中。
虽然由于在等离子体中反应物基团的高反应度,PE-ALD工艺克服了热ALD工艺的一些缺点,但是PE-ALD工艺具有许多局限性。例如,PE-ALD工艺会造成等离子体对衬底的损害(例如蚀刻),与某些化学前体无法共存,以及需要额外的硬件。
因此,需要一种工艺,用以通过气相沉积技术,优选通过等离子体增强技术,如通过PE-ALD技术,在衬底上沉积或形成材料。
发明内容
本发明的实施例提供一种在气相沉积工艺如原子层沉积(ALD)、等离子体增强ALD(PE-ALD)、化学气相沉积(CVD)或等离子体增强CVD(PE-CVD)期间,在衬底上沉积或形成氮化钛和氮化铝钛材料的方法。构造处理室以在气相沉积工艺期间将衬底顺序暴露于气体和/或等离子体。在一个实施例中,提供一种用于在衬底表面上形成钛材料的方法,其包括将衬底顺次暴露于钛前体气体和氮前体(例如等离子体或气体)同时在其上面形成氮化钛层,在处理工艺期间将氮化钛层暴露于等离子体,将氮化钛层暴露于铝前体气体同时在上面沉积铝层,以及加热衬底以由氮化钛层和铝层形成氮化铝钛材料。
在另一实施例中,提供一种用于在衬底表面上形成钛材料的方法,其包括将衬底顺次暴露于钛前体气体和氮前体(例如等离子体或气体)同时在其上面形成第一氮化钛层,在处理工艺期间将第一氮化钛层暴露于等离子体,以及将第一氮化钛层暴露于铝前体气体同时在上面沉积第一铝层。该方法进一步包括将衬底顺次暴露于钛前体气体和氮前体同时在第一铝层上形成第二氮化钛层,在处理工艺期间将第二氮化钛层暴露于等离子体,以及将第二氮化钛层暴露于铝前体气体同时在上面沉积第二铝层。可以重复沉积氮化钛层、处理和沉积铝层的循环多次以形成多层。随后,可以加热或以其他方式退火衬底以由多层形成氮化铝钛材料。在一些实施例中,沉积和处理氮化钛层以及在上面沉积铝层的循环也可以包括在沉积下一氮化钛层之前处理每个铝层(例如,惰性气体等离子体或氮等离子体)。
在另一实施例中,提供一种用于在衬底表面上形成钛材料的方法,其包括在PE-ALD工艺期间在衬底上形成氮化钛层,在处理工艺期间将氮化钛层暴露于等离子体,以及在气相沉积工艺期间将氮化钛层暴露于铝前体气体同时在上面沉积铝层。该方法进一步包括顺次重复PE-ALD工艺、处理工艺和气相沉积工艺以由多个氮化钛层和铝层形成氮化铝钛材料。在其他实例中,该方法进一步包括在等离子体处理工艺期间将铝层暴露于惰性气体等离子体或氮等离子体,然后顺次重复PE-ALD工艺、处理工艺、气相沉积工艺和等离子体处理工艺以由多个氮化钛层和铝层形成氮化铝钛材料。
在其它实施例中,形成氮化铝钛材料的方法包括将衬底暴露于包含钛前体和铝前体的沉积气体同时在其上面形成吸收层,将吸收层暴露于氮等离子体同时在衬底上形成氮化铝钛层,以及顺次重复沉积气体和氮等离子体以在衬底上形成多个氮化铝钛层。
在一些实施例中,钛前体气体可以包含例如四(二甲氨基)钛(TDMAT)、四(二乙氨基)钛(TDEAT)、四(甲基乙氨基)钛(TEMAT)、四氯化钛或其衍生物的钛前体。在一些实施例中,铝前体气体包含铝前体,其包括三(叔戊基)铝(TTBA)、三甲基铝(TMA)、氯化铝及其衍生物。在一个实例中,钛前体是TDMAT以及铝前体是TTBA。在一些实施例中,在沉积工艺期间或在处理工艺期间可以使用氮等离子体。氮等离子体可以由包含氮、氨、氢、氩及其衍生物或其混合物的气体形成。氮等离子体可以通过远控等离子体系统(RPS)在处理室外部或者在原位等离子体系统中在处理室内部形成或点火。在一个实例中,在包括TDMAT作为钛前体、TTBA作为铝前体和氮等离子体作为氮前体的PE-ALD工艺期间,可以在衬底表面上形成或以其它方式沉积钛材料。氮化铝钛材料可以包含从约2原子百分比至约40原子百分比、优选从约5原子百分比至约33原子百分比范围内的铝浓度。
在另一实施例中,氮化铝钛材料可以是衬底上的金属栅极层。包含氮化铝钛的金属栅极层可以具有从约
Figure BPA00001350865300031
至约
Figure BPA00001350865300032
优选从约
Figure BPA00001350865300033
至约以及更优选从约
Figure BPA00001350865300035
至约
Figure BPA00001350865300036
的范围内的厚度。在另一实施例中,氮化铝钛材料可以是衬底上的阻挡层。包含氮化铝钛材料的阻挡层可以具有从约
Figure BPA00001350865300037
至约优选从约
Figure BPA00001350865300039
至约
Figure BPA000013508653000310
范围内、例如约
Figure BPA000013508653000311
的厚度。在一个实施例中,含金属层,如籽晶层或本体层,布置在包含氮化铝钛材料的阻挡层上或上方。含金属层可包含铜、钴、钌、钨、钯、铝、它们的合金或它们的组合。在另一实施例中,氮化铝钛材料可以是电容器内的一层。氮化铝钛的电容器层可以具有在从约
Figure BPA000013508653000312
至约
Figure BPA000013508653000313
优选从约
Figure BPA000013508653000314
至约
Figure BPA000013508653000315
范围内、例如约的厚度。
在另一实例中,通过在PE-ALD工艺期间可以将衬底顺次暴露于远控氮等离子体和TDMAT来形成氮化钛层。在另一实例中,通过在PE-ALD工艺期间可以将衬底顺次暴露于远控氮等离子体、TDMAT和TTBA,来形成氮化钛层材料。可以利用这些方法在高纵横比的通孔和沟槽侧壁上实现良好的电阻率、同质的处理。这里描述的利用TDMAT作为钛前体的工艺通常形成氮化钛材料和氮化铝钛材料,其不具有氯污染物或基本上不具有氯污染物,如可能的痕量。而且,这里描述的利用TDMAT和/或TTBA作为前体的工艺通常形成氮化铝钛材料,其不具有碳污染物、低的碳浓度(约5原子百分比以下)或高的碳浓度(大于5原子百分比)-这依赖于氮化铝钛材料的应用。在一些实施例中,氮化铝钛材料可以包含约5原子百分比以下、优选约3原子百分比以下、更优选约2原子百分比以下、更优选约1原子百分比以下以及更优选约0.5原子百分比以下的碳浓度。在其它实施例中,氮化铝钛材料可以包含约15原子百分比以下、如约10原子百分比以下、如约5原子百分比的碳浓度。
在一些实例中,可以将衬底或加热器加热到从约340℃至约370℃范围内的温度,这取决于特征的纵横比。在等离子体工艺期间,该室压力可以在从约500mTorr至约2Torr的范围内,且等离子体功率可以在从约4kW至约10Kw的范围内。该氮气体可以具有从约200sccm至约2,000sccm范围内的流速。
在另一实施例中,可以使用这里描述的氮化铝钛材料来形成动态随机存取存储(DRAM)电容器。在一些实例中,DRAM电容器可以是埋层字线(bWL)DRAM或埋层位线(bBL)DRAM。DRAM电容器可以包含含有氮化铝钛材料且设置在接触表面上方的底电极、设置在底电极上方的高k氧化物层、以及包含氮化铝钛材料且设置在高k氧化物层上方的顶电极。该接触表面包含金属或其它导电材料,如钛、钨、铜、钴、钌、镍、铂、铝、银、多晶硅、掺杂的多晶硅、其衍生物、其合金以及其组合。高k氧化物层包含高k材料,其包括氧化锆、硅酸铪、硅酸铝铪、氧化锆、氧化钛锶、钛酸钡锶、其衍生物、其硅酸盐、其铝酸盐或其组合。底电极、高k氧化物层和顶电极沉积在沟槽内,该沟槽形成在设置于衬底上的氧化物材料内。而且,包含氮化铝钛材料的底电极或顶电极每个独立地具有从约
Figure BPA00001350865300041
至约
Figure BPA00001350865300042
优选从约至约
Figure BPA00001350865300044
或从约
Figure BPA00001350865300045
至约
Figure BPA00001350865300046
的范围内的厚度。
具体实施方式
本发明的实施例提供了一种在气相沉积工艺例如原子层沉积(ALD)、等离子体增强ALD(PE-ALD)、化学气相沉积(CVD)或等离子体增强CVD(PE-CVD)期间,在衬底上沉积或形成氮化钛和氮化铝钛材料的方法。构造处理室,使得衬底在气相沉积期间暴露于一系列气体和/或等离子体。一方面,该工艺具有很小或不具有启动延迟,并且保持快速沉积速率,同时形成钛材料,该材料包括氮化铝钛、氮化钛、氮化硅钛、金属钛、其衍生物或它们的组合。在这里描述的一些实施例中,ALD或PE-ALD前体包括将衬底顺次暴露于包含化学前体或反应物的各种沉积气体或等离子体,如钛前体、铝前体、氮气气体前体和/或氮等离子体、惰性气体等离子体、其它反应物或它们的组合。
在一个实施例中,通过将衬底顺次暴露于钛前体气体和氮前体(例如,等离子体或气体)以在该衬底上形成氮化钛层,通过在处理工艺期间将氮化钛层暴露于等离子体,以及通过将氮化钛层暴露于铝前体气体中而同时在氮化钛层上沉积铝层,可以在衬底表面上形成氮化铝钛材料。随后,可以加热该衬底,以由氮化钛层和铝层形成氮化铝钛材料。
在另一实施例中,通过将衬底顺次暴露于钛前体气体和氮等离子体或氮前体气体中以在衬底上形成氮化钛层,通过在第一处理工艺期间将该氮化钛层暴露于第一等离子体(例如,氮等离子体),通过将该氮化钛层暴露于铝前体气体中而同时在该氮化钛层上沉积铝层,以及通过在第二处理工艺期间将该铝层暴露于第二等离子体(例如,氮等离子体),可以在衬底表面上形成氮化铝钛材料。随后,可以加热该衬底,以由氮化钛层和铝层形成氮化铝钛材料。第一和第二等离子体可以单独地是惰性气体等离子体或氮等离子体。在一些实例中,该氮等离子体可以由包含氨或氮的气体形成。
在其它实施例中,提供了一种在衬底表面上形成钛材料的方法,其包括:将衬底顺次暴露于钛前体气体和氮前体(例如,等离子体或气体)中而同时在衬底上形成第一氮化钛层,在处理工艺期间将第一氮化钛层暴露于等离子体,以及将第一氮化钛层暴露于铝前体气体中而同时在其上沉积第一铝层。该方法进一步包括:将衬底顺次暴露于钛前体气体和氮前体中而同时在第一铝层上形成第二氮化钛层;在处理工艺期间将第二氮化钛层暴露于等离子体;以及将第二氮化钛层暴露于铝前体气体,同时在其上沉积第二铝层。沉积氮化钛层、处理和沉积铝层的循环可以重复许多次,以形成多个层。随后,可以对该衬底进行加热或退火,以由这些层形成氮化铝钛材料。在一些实施例中,沉积和处理氮化钛层和在其上沉积铝层的循环还可以包括在沉积下一个氮化钛层之前处理每个铝层(例如,惰性气体等离子体或氮等离子体)的步骤。
在另一实施例中,提供一种在衬底表面上形成钛材料的方法,其包括:在PE-ALD工艺期间在该衬底上形成氮化钛层;在处理工艺期间将氮化钛层暴露于等离子体;以及在气相沉积工艺期间将氮化钛层暴露于铝前体气体而同时在其上沉积铝层。该方法进一步包括顺序地重复PE-ALD工艺、处理工艺和气相沉积工艺,以由多个氮化钛层和铝层形成氮化铝钛材料。在其它实例中,该方法进一步包括:在等离子体处理工艺期间将该铝层暴露于惰性气体等离子体或氮等离子体中,然后顺序重复PE-ALD工艺、处理工艺、气相沉积工艺和等离子体处理工艺,以由多个氮化钛层和铝层形成氮化铝钛材料。
在其它的实施例中,形成氮化铝钛材料的方法包括:将衬底暴露于包含钛前体和铝前体的沉积气体中而同时在其上形成吸收层,将该吸收层暴露于氮等离子体中而同时在衬底上形成氮化铝钛层,以及重复进行对于沉积气体和氮等离子体中的顺序暴露,以在衬底上形成多个氮化铝钛层。
在另一实施例中,形成氮化铝钛材料的方法包括:在气相沉积工艺期间由包含钛前体和铝前体的沉积气体在衬底上形成钛铝层,并在氮化工艺期间将该钛铝层暴露于氮等离子体。该方法进一步包括顺序地重复该沉积循环,以形成多个氮化铝钛层。通过将钛铝层和/或氮化铝钛暴露于等离子体,如惰性气体等离子体,可以将可选的处理工艺引入到该沉积循环中。
在一些实施例中,钛前体气体可包含钛前体,如四(二甲氨基)钛(TDMAT)、四(二乙氨基)钛(TDEAT)、四(甲基乙氨基)钛(TEMAT)、四氯化钛或其衍生物。在一些实施例中,铝前体气体包含铝前体,其包括三(叔戊基)铝(TTBA)、三甲基铝(TMA)、氯化铝及其衍生物。在一个实例中,钛前体是TDMAT以及铝前体是TTBA。在一些实施例中,在沉积工艺期间或在处理工艺期间可以使用氮等离子体。氮等离子体可以由包含氮、氨、氢、氩及其衍生物或其混合物的气体形成。氮等离子体可以通过远程等离子体系统(RPS)在处理室外部、或者在原位等离子体系统中在处理室内部形成或点火。在一个实例中,在包括TDMAT作为钛前体、TTBA作为铝前体、以及氮等离子体作为氮前体的PE-ALD工艺期间,钛材料可以形成或以另外方式沉积在衬底表面上。氮化铝钛材料可以包含从约2原子百分比至约40原子百分比、优选从约5原子百分比至约33原子百分比范围内的铝浓度。
在另一实施例中,氮化铝钛材料可以是衬底上的金属栅极层。包含氮化铝钛材料的金属栅极层可以具有从约
Figure BPA00001350865300071
至约
Figure BPA00001350865300072
优选从约
Figure BPA00001350865300073
至约
Figure BPA00001350865300074
以及更优选从约
Figure BPA00001350865300075
至约
Figure BPA00001350865300076
的范围内的厚度。
在另一实施例中,氮化铝钛材料可以是衬底上的阻挡层。包含氮化铝钛材料的阻挡层可以具有从约
Figure BPA00001350865300077
至约
Figure BPA00001350865300078
优选从约
Figure BPA00001350865300079
至约
Figure BPA000013508653000710
范围内、例如约的厚度。在一个实施例中,含金属层,如籽晶层或本体层,布置在包含氮化铝钛材料的阻挡层上或上方。含金属层可包含铜、钴、钌、钨、钯、铝、它们的合金或它们的组合。在另一实施例中,氮化铝钛材料可以是电容器内的一层。氮化铝钛的电容器层可以具有在从约至约
Figure BPA000013508653000713
优选从约至约
Figure BPA000013508653000715
的范围内、例如约
Figure BPA000013508653000716
的厚度。
在另一实例中,通过在PE-ALD工艺期间顺次地将衬底暴露于远控氮等离子体和TDMAT,可以形成氮化钛层。在另一实例中,通过在PE-ALD工艺期间将顺次衬底暴露于远程氮等离子体、TDMAT和TTBA,可以形成氮化钛层材料。可以利用这些方法在高纵横比的通孔和沟槽的侧壁上实现良好的电阻率、同质处理。这里描述的利用TDMAT作为钛前体的工艺通常形成氮化钛材料和氮化铝钛材料,其不具有氯污染物或基本上不具有氯污染物,如可能的痕量。而且,这里描述的利用TDMAT和/或TTBA作为前体的工艺通常形成氮化铝钛材料,其不具有碳污染物、低的碳浓度(约5原子百分比以下)或较高的碳浓度(大于5原子百分比)。在一些实施例中,氮化铝钛材料可以包含约5原子百分比以下、优选约3原子百分比以下、更优选约2原子百分比以下、更优选约1原子百分比以下、以及更优选约0.5原子百分比以下的碳浓度。在其它实施例中,氮化铝钛材料可以包含约15原子百分比以下、如约10原子百分比以下、如约5原子百分比的碳浓度。
在另一实施例中,可以使用这里描述的氮化铝钛材料来形成动态随机存取存储(DRAM)电容器。DRAM电容器可以包含含有氮化铝钛且设置在接触表面上方的底电极、设置在底电极上方的高k氧化物层以及包含氮化铝钛且设置在高k氧化物层上方的顶电极。该接触表面可以包含多晶硅、掺杂的多晶硅或其衍生物。替代地,该接触表面可以包含金属,如钨、铜、铝、银、钴、钌、其合金或其衍生物。高k氧化物层包含高k材料,如氧化锆、氧化钛锶、钛酸钡锶、或其衍生物。底电极、高k氧化物层和顶电极沉积在沟槽内,该沟槽形成在设置于衬底上的氧化物材料内。在各个实例中,包含氮化铝钛材料的底电极和/或包含氮化铝钛材料的顶电极均可独立地具有从约
Figure BPA00001350865300081
至约
Figure BPA00001350865300082
优选从约
Figure BPA00001350865300083
至约
Figure BPA00001350865300084
或从约
Figure BPA00001350865300085
至约
Figure BPA00001350865300086
的范围内的厚度。
在许多实施例中,这里描述的在用于沉积或形成钛材料(例如,氮化钛或氮化铝钛材料)的气相沉积工艺期间可以使用的钛前体包括四(二甲氨基)钛(TDMAT)、四(二乙氨基)钛(TDEAT)、四氯化钛(TiCl4)或其衍生物。这里描述的在气相沉积工艺期间沉积或形成钛材料可以使用的氮前体包括氮(例如等离子体、N2或原子N)、氨(NH3)、肼(N2H4)、甲肼(Me(H)NNH2)、二甲肼(Me2NNH2或Me(H)NN(H)Me)、三丁肼(tBu(H)NNH2)、苯肼(C6H5(H)NNH2)、氮等离子体源(例如N、N2、N2/H2、NH3或N2H4等离子体、)、2,2′-氮三丁烷(tBuNNtBu)、叠氮化物源、如乙基叠氮化物(EtN3)、三甲代甲硅烷基叠氮化物(Me3SiN3)、其衍生物、其等离子体或其组合。
在一些实施例中,这里沉积的或形成的钛材料可以包含铝,如氮化铝钛材料。这里描述的气相沉积工艺可以使用的铝前体包括具有化学式RmAlX(3-m)的铝化合物,其中m为0、1、2或3,每个R单独地为氢、甲基、乙基、丙基、丁基、戊基、甲氧基、乙氧基、丙氧基、丁氧基、戊氧基、其同分异构体,并且X单独地为氯、溴、氟或碘。铝前体的实例包括三(叔戊基)铝(((CH3)3C)3Al或tBu3Al或TTBA)、三(异丙基)铝(((CH3)2C(H))3Al或iPr3Al)、三乙基铝((CH3CH2)3Al或Et3Al或TEA)、三甲基铝((CH3)3Al或Me3Al或TMA)、二(叔戊基)氢化铝(((CH3)3C)2AlH或tBu2AlH)、二(异丙基)氢化铝(((CH3)2C(H))2AlH或iPr2Al)、二乙基氢化铝((CH3CH2)2AlH或Et2AlH)、二甲基氢化铝((CH3)2AlH或Me2AlH)、二(叔戊基)氯化铝(((CH3)3C)2AlCl或tBu2AlCl)、二(异丙基)氯化铝(((CH3)2C(H))2AlCl或iPr2AlCl)、二乙基氯化铝((CH3CH2)2AlCl或Et2AlCl)、二甲基氯化铝((CH3)2AlCl或Me2AlCl)、三丁氧铝(((CH3)3CO)3Al或tBuO3Al)、异丙氧化铝(((CH3)2C(H)O)3Al或iPrO3Al)、三乙氧化铝((CH3CH2O)3Al或EtO3Al)、三甲氧基铝((CH3O)3Al或MeO3Al)或其衍生物。可以使用铝前体来形成氮化铝钛材料、氮化铝材料以及这里描述的通过沉积工艺的其他含铝层和材料。
载气、净化气体、沉积气体或其它工艺气体可以包含氮、氢、氨、氩、氖、氦或其组合。等离子体可以用于这里描述的钛材料的沉积、形成、退火、处理或其它处理。这里描述的各种等离子体,如氮等离子体或惰性气体等离子体,可以由等离子体前体气体点火和/或包含等离子体前体气体。等离子体前体气体可以包含氮、氢、氨、氩、氖、氦或其组合。在一些实例中,氮等离子体包含氮和氢。在其它实例中,氮等离子体包含氮和氨。在另一实例中,氮等离子体包含氨和氢。在其它实例中,氮等离子体包含氮、氨和氢。在其它实例中,氮等离子体包含氮或氨。
在一个实施例中,可以在衬底上形成氮化钛材料。可以将包含TDMAT的沉积气体通过气体通道、从注入孔脉冲输送到PE-ALD室的入口中,以及脉冲输送到中心通道中,并且随后将氮等离子体从RPS从入口脉冲输送到中心通道中。随后将包含TDMAT的沉积气体和氮等离子体脉冲输送到并通过喷嘴(showerhead)。其后,顺序地将衬底暴露于沉积气体和氮等离子体,以在衬底上形成氮化钛层。在一些实例中,氮化钛层可以具有从约
Figure BPA00001350865300091
至约
Figure BPA00001350865300092
优选从约至约
Figure BPA00001350865300094
以及更优选从约至约
Figure BPA00001350865300096
例如约
Figure BPA00001350865300097
范围内的厚度。在其它实例中,氮化钛材料、多个氮化钛层或一层氮化钛可以具有从约至约优选从约至约
Figure BPA000013508653000911
例如从约
Figure BPA000013508653000912
至约或从约
Figure BPA000013508653000914
至约
Figure BPA000013508653000915
范围内的厚度。
可以将氮化钛层暴露于处理工艺,如等离子体工艺或热处理。在一个实例中,将氮化钛层暴露于氮等离子体(例如N2或NH3的RPS)。之后,将氮化钛层暴露于铝前体气体以在其上面形成铝层。铝前体气体包含铝前体且可以包含载气,如氮、氩、氢、氦或其混合物。在一个实例中,铝前体气体包含TTBA和载气(例如Ar)。在一个实例中,在等离子体处理工艺期间,可以将铝层暴露于氮等离子体或惰性气体等离子体。随后,将包含氮化钛和铝层的衬底暴露于热工艺、另一等离子体工艺或另外的和/或替代的处理工艺,以形成氮化铝钛材料/层。
可以将包含TDMAT的沉积气体通过气体通道、从注入孔脉冲输送到PE-ALD室的入口以及中心通道,并且随后从入口将氮等离子体从RPS脉冲输送到中心通道中。随后可以将包含TDMAT的沉积气体和氮等离子体脉冲输送到并通过喷嘴。其后,随后将衬底暴露到沉积工艺和氮等离子体以在衬底上形成氮化钛层。
在一个实例中,可以在衬底上形成氮化铝钛材料。可以将包含TDMAT的沉积气体通过气体通道、从各个孔或出口脉冲输送到入口中,以及脉冲输送到中心通道中。可以将包含TTBA的铝前体气体通过气体通道、从孔和出口脉冲输送到入口中,以及脉冲输送到中心通道中。替代地,可以将铝前体气体脉冲输送到另一气体入口、气体通道以及多组孔(未示出)以被提供到中心通道。在另一实施例中,可以将铝前体气体从入口脉冲输送到中心通道。随后将氮等离子体从RPS从入口脉冲输送到中心通道。可以将包含TDMAT的沉积气体、包含TTBA的铝前体气体、和氮等离子体顺序地脉冲输送到并通过喷嘴。之后,将衬底连续暴露于沉积气体、铝前体和氮等离子体以在衬底上形成氮化铝钛层。可以重复形成氮化铝钛层的工艺以形成包含多个氮化钛层的氮化铝钛材料。在一些实施例中,可以将衬底加热到从约500℃、优选约400℃以下范围内、如从约200℃至约400℃的范围内、以及更优选从约340℃至约370℃的范围内、例如约360℃的温度以形成氮化铝钛层。在另一实例中,可以将铝层暴露于氮等离子体(例如N2-RPS)以形成氮化铝钛层或在氮化铝钛层之后。
在一个实施例中,可以在包含恒流反应物气体的PE-ALD工艺期间形成钛材料(例如氮化钛),同时提供钛前体和等离子体的连续脉冲。在另一实施例中,可以在提供钛前体(例如TDMAT)和反应物等离子体(例如氮等离子体)的连续脉冲的另一PE-ALD工艺期间形成钛材料。在这两个实施例中,反应物在该工艺期间通常被离子化。PE-ALD工艺规定:如通过远程等离子体产生器(RPS)系统,从处理室外部产生等离子体。在PE-ALD工艺期间,可以从微波(MW)频率发生器或射频(RF)发生器产生等离子体。在另一实施例中,可以在提供钛前体和反应物的连续脉冲的热ALD工艺期间形成钛材料。
在另一实施例中,可以在包含恒流反应物气体的PE-ALD工艺期间形成氮化铝钛或其衍生物,同时提供钛前体、铝前体和等离子体的连续脉冲。在另一实施例中,可以在提供钛前体(例如TDMAT)、铝前体(例如TTBA)和反应物等离子体(例如氮等离子体)的连续脉冲的另一PE-ALD工艺期间形成氮化铝钛层。在这两个实施例中,反应物通常在该工艺期间被离子化。PE-ALD工艺规定:如通过远程等离子体发生器(RPS)系统,从处理室外部产生等离子体。在PE-ALD工艺期间,可以从微波(MW)频率发生器或射频发生器(RF)产生等离子体。在另一实施例中,可以在提供钛前体、铝前体和反应物的连续脉冲的热ALD工艺期间形成钛材料。
在替代实施例中,可以通过将衬底同时暴露于钛前体和铝前体,在衬底上形成氮化铝钛材料。在一个实施例中,该方法包括将衬底暴露于包含钛前体和铝前体的沉积气体同时在其上面形成吸收层,将吸收层暴露于氮等离子体同时在衬底上形成氮化铝钛层,以及重复对于沉积气体和氮等离子体的连续暴露以在衬底上形成多个氮化铝钛层。在一些实施例中,在处理工艺期间可以将氮化铝钛层暴露于气体或等离子体。在一些实例中,在处理工艺期间可以将每个氮化铝钛层暴露于氮等离子体(例如N2、NH3、H2或其混合物)。在其它实例中,在处理工艺期间可以将每个氮化铝钛层暴露于惰性气体等离子体(例如Ar)。
在一些实例中,在单一沉积气体中可以同向流动钛前体(例如TDMAT)和铝前体(例如TTBA),并且在其它实例中,钛和铝前体可以独立地且同时流入室中。可以将包含钛和铝前体的沉积气体通过气体通道、从注入孔脉冲输送到PE-ALD室的入口中,以及脉冲输送到中心通道中。在一些实例中,从入口将氮等离子体从RPS连续脉冲输送到中心通道。可以将包含钛和铝前体的沉积气体和氮等离子体连续脉冲输送到且通过喷嘴。之后,可以将衬底连续暴露于沉积气体和氮等离子体以在衬底上形成氮化铝钛层。
在其它实例中,将氮前体气体从入口连续脉冲输送到中心通道。可以将包含钛和铝前体的沉积气体和氮前体气体连续脉冲输送到并且通过喷嘴。之后,可以使氮前体气体点火以形成氮等离子体,并且可以将衬底连续暴露于沉积气体和氮等离子体,以在衬底上形成多个氮化铝钛层。
在一些实施例中,可以在包含恒流反应物气体的PE-ALD工艺期间形成钛材料,同时提供钛前体和等离子体的连续脉冲。在另一实施例中,可以在提供钛前体和反应物等离子体的连续脉冲的另一PE-ALD工艺期间形成钛材料。在另一实施例中,可以在另一PE-ALD工艺期间将衬底连续暴露于沉积气体和氮等离子体来形成钛材料,其中沉积气体包含钛前体和铝前体。
该等离子体可以是在处理室远程或内部产生的氮等离子体或惰性气体等离子体。而且,PE-ALD工艺规定:等离子体如可以通过远程等离子体发生器(RPS)系统从处理室外部产生,或通过在处理室内产生的等离子体,如在原位PE-ALD室总。在许多实例中,可以在氮化工艺或等离子体处理工艺期间将氮化钛层、铝层、氮化铝钛材料/层中的每一个暴露于氮等离子体(例如,N2、NH3、H2或其混合物)。在许多实例中,氮等离子体可以通过RPS系统形成,暴露于这些层中的任意层,且可以由氨形成。
在PE-ALD工艺期间,可以由微波(MW)频率发生器或射频(RF)发生器产生等离子体。例如,可以在处理室内或从盖组件处对等离子体点火。在一个实例中,由RPS产生氮等离子体,分配或注入到处理或沉积室中,以及暴露于衬底。在另一实例中,通过RF发生器原位产生氮等离子体。在另一实施例中,可以在提供金属前体和反应物的连续脉冲的热ALD工艺期间形成钛材料或氮化钛。在PE-ALD工艺期间,例如,可以设置等离子体发生器以具有从约1千瓦(kW)至约40kW、优选从约2kW至约20kW、以及更优选从约4kW至约10kW范围内的功率输出。
在许多实例中,可以将衬底或加热器加热到从约340℃至约370℃范围内的温度,同时沉积或形成钛材料。在用于处理或沉积的等离子体工艺期间,该室压力可以在从约500mTorr至约2Torr的范围内,且等离子体功率可以在从约4kW至约10Kw的范围内。该氮气体可以具有从约200sccm至约2,000sccm范围内的流速。
在一些实施例中,在这里描述的用于沉积或形成钛材料的方法期间可以使用的等离子体系统和处理室或系统包括可从位于加利福尼亚州圣克拉拉市的应用材料公司获得的TXZ
Figure BPA00001350865300121
CVD室。在共同让与的美国专利No.5,846,332、6,079,356和6,106,625中描述了进一步公开的等离子体系统和处理室,其通过参考将它们全部结合在这里,以提供等离子体发生器、等离子体室、ALD室、衬底基架和室衬层的进一步公开。在其它实施例中,在2009年6月30日申请的共同让与的美国序列号No.12/494,901中描述了在这里描述的用于沉积或形成钛材料的方法期间可以使用的PE-ALD处理室或系统,通过参考将它们全部结合在这里。在这里描述的一些实施例期间使用的ALD处理室可以包含各种盖组件。其它的ALD处理室也可以在这里描述的一些实施例期间使用且可从位于加利福尼亚州圣克拉拉市的应用材料公司获得。在共同让与的美国专利No.6,878,206和6,916,398以及共同让与的于2002年10月25日申请的美国序列号No.10/281,079和公开的美国公开文本No.2003-0121608中详细描述了ALD处理室,通过参考将它们全部结合在这里。在另一实施例中,在共同让与的2003年11月13日申请的美国序列号No.10/712,690和公布的美国公开文本No.2004-0144311中描述了被构造为可用于沉积钛材料的以ALD模式以及常规的CVD模式工作的室,通过参考将它们每一个全部结合在这里。
ALD工艺规定:可以以从约0.01Torr至约80Torr、优选从约0.1Torr至约10Torr、以及更优选从约0.5Torr至约2Torr范围内的压力,对处理室或沉积室施加压力。而且,可以将室或衬底加热到小于约500℃、优选约400℃以下、如从约200℃至约400℃的范围内、以及更优选从约340℃至约370℃的范围内、例如约360℃的温度。
该衬底例如可以是具有在形成于上面的一个或多个介质材料层中定义的互连图案的硅衬底。在一个实例中,该衬底包含其上面的结合层,而在另一实例中,该衬底包含介质表面。调节处理室条件,如温度和压力,以增强沉积气体在衬底上的吸收,以便于钛前体和分配的反应。
在一个实施例中,可以在整个ALD循环将衬底暴露于分配。可以将衬底暴露于通过将载气(例如氮或氩)穿过钛前体的安瓿(ampoule)而形成的钛前体气体。可以根据在该工艺期间使用的钛前体加热该安瓿。在一个实例中,可以将包含TDMAT的安瓿加热到从约25℃至约80℃范围内的温度。钛前体气体通常具有从约100sccm至约2,000sccm范围内、优选从约200sccm至约1,000sccm范围内、以及更优选从约300sccm至约700sccm范围内、例如约500sccm的流速。可以组合钛前体气体和分配来形成沉积气体。分配通常具有从约100sccm至约3,000sccm、优选从约200sccm至约2,000sccm、以及更优选从约500sccm至约1,500sccm范围内的流速。在一个实例中,使用氮等离子体作为约1,500sccm流速的分配。可以将衬底暴露于钛前体气体或包含钛前体和分配的沉积气体以及该分配,持续从约0.1秒至约8秒、优选从约1秒至约5秒、以及更优选从约2秒至约4秒范围内的时间周期。一旦钛前体被吸收到衬底上,就可以停止钛前体气体的流动。钛前体可以是不连续层、连续层或平坦的多层。
可以在停止钛前体气体的流动之后将衬底和室暴露于净化步骤。可以在净化步骤期间相对于前一步骤保持或调整分配的流速。优选,相对于前一步骤保持分配的流动。替代地,可以以在从约100sccm至约2,000sccm、优选从约200sccm至约1,000sccm、以及更优选从约300sccm至约700sccm范围内的流速、例如约500sccm的流速,将净化气体流分配到处理室内。净化步骤去除了多余的钛前体和处理室内的其他污染物。可以执行净化步骤,持续从约0.1秒至约8秒、优选从约1秒至约5秒、以及更优选从约2秒至约4秒范围内的时间周期。载气、净化气体、沉积气体或其它工艺气体可以包含氮、氢、氨、氩、氖、氦或其组合。在一个实例中,载气包含氮。
之后,可以在对等离子体进行点火之前保持或调整分配的流动。可以将衬底暴露于等离子体,持续从约0.1秒至约20秒、优选从约1秒至约10秒、以及更优选从约2秒至约8秒范围内的时间周期。之后,断开等离子体电源。在一个实例中,反应物可以是氨、氮、氢或其组合,以形成氨等离子体、氮等离子体、氢等离子体或组合的等离子体。反应物等离子体与衬底上吸收的钛前体发生反应,以在其上面形成钛材料。在一个实例中,反应物等离子体用作还原剂(例如H2)以形成金属钛。然而,可以使用各种反应物以形成具有宽范围组分的钛材料。在一个实例中,使用含硼的反应化合物(例如乙硼烷)来形成含钛材料的硼化物。在另一实例中,使用含硅的反应化合物(例如硅烷)来形成含钛材料的硅化物。
在另一实例中,可以使用氮等离子体或氮前体(例如氮或氨)来形成含氮的钛材料,如氮化钛或氮化铝钛。在另一实例中,可以使用铝前体和氮前体来形成氮化铝钛材料。氮前体可以是气体或等离子体,且可以包含氮、氨、氢或其混合物。在许多实例中,可以将通过对含氨的气体进行点火而形成的氮等离子体暴露于所吸收的钛前体层、氮化钛层、铝层、氮化铝钛材料层,以及在气相沉积工艺、ALD或PE-ALD工艺、CVD或PE-CVD工艺、预处理、处理和/后处理工艺期间暴露于衬底或衬底表面。
将处理室暴露于第二净化步骤以去除多余的前体或来自前一步骤的污染物。在净化步骤期间,可以相对于前一步骤保持或调整分配的流速。可以以在从约100sccm至约2,000sccm、优选从约200sccm至约1,000sccm、以及更优选从约300sccm至约700sccm范围内的流速、例如约500sccm的流速,将可选的净化气体分配到处理室中。可以执行第二净化步骤,持续从约0.1秒至约8秒、优选从约1秒至约5秒、以及更优选从约2秒至约4秒范围内的时间周期。
在一个实施例中,可以重复ALD循环直至在衬底上沉积预定厚度的氮化钛。在另一实施例中,将氮化钛层暴露于铝前体气体,随后,可以重复ALD循环和/或对于铝前体气体的暴露,直至在衬底上沉积预定厚度的氮化铝钛。
钛材料可以沉积有小于
Figure BPA00001350865300151
优选小于
Figure BPA00001350865300152
以及更优选从约
Figure BPA00001350865300153
至约范围内、例如约
Figure BPA00001350865300155
的厚度。这里描述的工艺可以以至少
Figure BPA00001350865300156
/周期、优选至少
Figure BPA00001350865300157
/周期、更优选
Figure BPA00001350865300158
/周期或更快的速率沉积钛材料。在另一实施例中,这里描述的工艺克服了与成核延迟有关的相关现有技术的缺点。就算不是大多数试验,在沉积钛材料的许多试验期间,都没有可检测到的成核延迟。
如这里使用的,“TiAlN”用作氮化铝钛、氮化铝钛材料或氮化铝钛层的缩略语,但不暗示特定化学定量关系的氮化铝钛,除非由具体的化学式描述或表示。在其它实施例中,氮化铝钛(TiAlN)材料包含从约2原子百分比至约40原子百分比、优选从约5原子百分比至约33原子百分比范围内的铝浓度。氮化铝钛材料可以包含约5原子百分比以下、优选约3原子百分比以下、以及优选约2原子百分比以下、以及优选约1原子百分比以下、以及更优选约0.5原子百分比以下的碳浓度。在其它实施例中,氮化铝钛材料可以包含约15原子百分比以下、如约10原子百分比以下、如约5原子百分比以下的碳浓度。通常,在暴露于铝前体气体之前,氮化钛层可以具有从约至约优选从约
Figure BPA000013508653001511
至约范围内的厚度。铝层可以具有从约至约
Figure BPA000013508653001514
优选从约
Figure BPA000013508653001515
至约
Figure BPA000013508653001516
范围内的厚度。在一些实施例中,钛、氮和/或铝的浓度可以具有在整个氮化铝钛上的梯度。在一个实例中,在将氮化钛层暴露于铝前体气体以及在其上面沉积铝层之前,在衬底上沉积多层氮化钛。在另一实例中,在其上面沉积氮化钛层之前,在衬底上沉积多层铝。在另一实例中,在将衬底暴露于氮等离子体或其它氮化工艺之前,在衬底上沉积多层钛铝材料。
在另一实施例中,氮化铝钛材料可以是衬底上的金属栅层。包含氮化铝钛材料的金属栅层可具有从约
Figure BPA000013508653001517
至约优选从约
Figure BPA000013508653001519
至约或从约
Figure BPA000013508653001521
至约的范围内的厚度。在另一实施例中,氮化铝钛材料可以是电容器内的一层。该含氮化铝钛的电容器层可以具有在从约
Figure BPA000013508653001523
至约
Figure BPA000013508653001524
优选从约
Figure BPA000013508653001525
至约
Figure BPA000013508653001526
的范围内、例如约
Figure BPA000013508653001527
的厚度。
在另一实施例中,氮化铝钛材料可以是衬底上的阻挡层。该含氮化铝钛材料的阻挡层可具有在从约
Figure BPA000013508653001528
至约
Figure BPA000013508653001529
优选从约
Figure BPA000013508653001530
至约
Figure BPA000013508653001531
的范围内、例如约
Figure BPA00001350865300161
的厚度。在一个实施例中,含金属层,如籽晶层或本体层,布置在含氮化铝钛材料的阻挡层上或上方。该含金属层可以包含铜、钴、钌、钨、钯、铝、它们的合金或它们的组合。
在另一实施例中,在另一PE-ALD工艺期间可以形成钛材料,该PE-ALD工艺规定将衬底顺次暴露于钛前体和活性反应剂,如反应物等离子体。如这里描述的,可以将衬底暴露于通过将载气穿过含钛前体的安瓿而形成的钛前体气体。该钛前体气体通常具有在从约100sccm至约2000sccm、优选从约200sccm至约1000sccm、且更优选从约300sccm至约700sccm的范围内、例如约500sccm的流速。可以将该衬底暴露于含钛前体和反应物气体的沉积气体,持续在从约0.1秒至约8秒、优选动约1秒至大约5秒、更优选从约2秒至约4秒的范围内的时间周期。一旦钛前体吸附到衬底上,就可以停止钛前体气体的流动。钛前体可以是不连续的层、连续的层或均匀的多层。
随后,将衬底和室暴露于净化步骤。在净化步骤期间可以将净化气体进入处理室。一方面,该净化气体是反应物气体,如氨气、氮气或氢气。另一方面,该净化气体可以是与反应物气体不同的气体。例如,反应物气体可以是氨气,而净化气体可以是氮气、氢气或氩气。该净化气体可以具有在从约100sccm至约2000sccm、优选从约200sccm至约1000sccm、且更优选从约300sccm至约700sccm的范围内、例如约500sccm的流速。净化步骤可以移除任何多余的钛前体和处理室内的其他污染物。可以执行该净化步骤,持续在从约0.1秒到约8秒、优选从约1秒到约5秒、更优选从约2秒到约4秒的范围内的时间周期。载气、净化气体、沉积气体或其它处理气体,可包含氮、氢、氨、氩、氖、氦或它们的组合。
在接下来的ALD工艺步骤期间,该衬底和吸附在其上的钛前体可以暴露于反应物气体。替代地,载气可以与反应物气体同时分配到处理室中。可以对该反应物气体进行点火以形成等离子体。该反应物气体通常具有在从约100sccm至约3000sccm、优选从约200sccm至约2000sccm、且更优选从约500sccm至约1500sccm的范围内的流速。在一个实例中,氨用作反应物气体,具有约1500sccm的流速。该衬底可以暴露于该等离子体,持续在从约0.1秒到约20秒、优选从约1秒到约10秒、更优选从约2秒到约8秒范围内的时间周期。其后,可以断开等离子体电源。在一个实例中,反应物可以是氨、氮、氢或它们的组合,同时等离子体可以是氨等离子体、氮等离子体、氢等离子体或它们的组合。反应物等离子体与衬底上吸附的钛前体发生反应,以在其上形成钛材料。优选地,使用反应物等离子体作为还原剂以形成金属钛。然而,如这里所描述的,可以使用多种反应物来形成具有宽范围组分的钛材料。
处理室可以暴露于第二净化步骤,以从处理室移除多余的前体或污染物。在前一步骤结束时,反应物气体的流动已经停止,并且如果反应物气体用作清洗气体,则在净化步骤期间启动该反应物气体的流动。替代地,可以将与反应物气体不同的清洗气体分配到处理室中。反应物气体或清洗气体可以具有在从约100sccm至约2000sccm、优选从约200sccm至约1000sccm、且更优选从约300sccm至约700sccm的范围内、例如约500sccm的流速。可以执行第二净化步骤,持续在从约0.1秒到约8秒、优选从约1秒到约5秒、更优选从约2秒到约4秒范围内的时间周期。
可以重复该ALD循环,直到预定厚度的钛材料沉积在衬底上。该钛材料可以沉积为具有小于优选小于
Figure BPA00001350865300172
且更优选从约
Figure BPA00001350865300173
至约
Figure BPA00001350865300174
例如约
Figure BPA00001350865300175
的厚度。这里描述的工艺可以至少/周期、优选至少
Figure BPA00001350865300177
/周期、更优选至少
Figure BPA00001350865300178
/周期或更快的速度沉积钛材料。在另一实施例中,这里描述的工艺克服了与成核延迟相关的相关现有技术的缺点。
可以将钛前体和至少一种反应物顺序引入到处理室中和在诸如热ALD工艺或PE-ALD工艺等气相沉积工艺期间暴露的衬底。通过这里的工艺形成的钛材料包括金属钛、氮化钛、氮化硅钛、氮化铝钛、钛铝合金或它们的衍生物。用来形成钛材料的合适反应物可以是氮前体或还原气体,并且包括氮(例如,N2或N原子)、氢(例如,H2或H原子)、氨(NH3)、肼(N2H4)、硅烷(SiH4)、乙硅烷(Si2H6)、丙硅烷(Si3H8)、四硅烷(Si4H10)、二甲基甲硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、氯代硅烷(ClSiH3)、二氯甲硅烷(Cl2SiH2)、六氯乙硅烷(Si2Cl6)、甲硼烷(BH3)、乙硼烷(B2H6)、三乙基甲硼烷(Et3B)、它们的衍生物、它们的等离子体或它们的组合。在其它实施例中,铝前体,如三(叔戊基)铝(((CH3)3C)3Al或tBU3Al或TTBA)或者它们的衍生物,可以用作反应物,同时在这里描述的气相沉积工艺期间形成氮化铝钛材料。
基于多种因素,例如,使用的处理室的容量、与其相连的真空系统和在ALD工艺期间使用的反应物的挥发性和反应性,可改变钛前体脉冲的时间间隔。例如,(1)大体积处理室会导致更长时间来稳定工艺条件,例如,载气/冲洗气体流动和温度,越需要更长的脉冲时间;(2)沉积气体的流速越低也会导致越长时间来稳定工艺条件,从而要求越长的脉冲时间;和(3)更低的室压力意味着沉积气体会更快速地从处理室撤出,从而需要更长的脉冲时间。通常,有利的是选择工艺条件,以使得钛前体的脉冲提供足够量的前体,使得至少单层的钛前体吸附在衬底上。其后,通过使恒定的载流子气束与真空系统结合,可以从处理室移除残留在室中的多余钛前体。
钛前体脉冲和反应物气体脉冲中每一个的时间间隔可具有相同的持续时间。也就是说,钛前体脉冲的持续时间可以与反应物气体的脉冲的持续时间相同。对于这样的实施例,钛前体(例如,TDMAT)脉冲的时间间隔(T1)等于反应物气体(例如,氮等离子体)脉冲的时间间隔(T2)。
替代地,钛前体脉冲和反应物气体脉冲中每一个的时间间隔可具有不同的持续时间。也就是说,钛前体脉冲的持续时间可以比反应物气体脉冲的持续时间更短或者更长。对于这样的实施例,钛前体(例如,TDMAT)脉冲的时间间隔(T1)不同于反应物气体(例如,氮等离子体)脉冲的时间间隔(T2)。
另外,钛前体脉冲和反应物气体脉冲中每一个之间的非脉动周期可具有相同的持续时间。也就是说,钛前体的每个脉冲和反应物气体的每个脉冲之间的非脉动周期的持续时间是相同的。对于这样的实施例,钛前体脉冲和反应物脉冲之间的非脉动时间间隔(T3)等于反应物气体脉冲和钛前体脉冲之间的非脉动时间间隔(T4)。在非脉动时间周期期间,仅恒定的载气气流提供给处理室。
替代地,钛前体脉冲和反应物气体脉冲中每一个之间的非脉动周期可具有不同的持续时间。也就是说,钛前体的每个脉冲和反应物气体每个脉冲之间的非脉动周期持续时间可以比反应物气体每个脉冲和钛前体每个脉冲之间的非脉动周期持续时间短或长。对于这样的实施例,钛前体脉冲和反应物脉冲之间的非脉动时间间隔(T3)不同于反应物气体脉冲和钛前体脉冲之间的非脉动时间间隔(T4)。在非脉动时间周期期间,仅恒定的载气气流提供给处理室。
另外,对于每个沉积循环,钛前体、反应物气体的每个脉冲的时间间隔和它们之间的非脉动周期具有相同的持续时间。对于这种实施例,对于每个沉积循环,钛前体的时间间隔(T1)、反应物气体的时间间隔(T2)、钛前体脉冲和反应物气体脉冲之间的非脉动时间间隔(T3)、以及反应物气体脉冲和钛前体脉冲之间的非脉动时间间隔(T4)每个都具有相同的值。例如,在第一沉积循环(C1)中,钛前体脉冲的时间间隔(T1)与后来的沉积循环(C2…Cn)中钛前体脉冲的时间间隔(T1)具有相同的持续时间。同样,第一沉积循环(C1)中反应物气体的每个脉冲的持续时间以及钛前体与反应物气体脉冲之间的非脉动周期分别与后来的沉积循环(C2…Cn)中反应物气体的每个脉冲的持续时间以及钛前体与反应物气体脉冲之间的非脉动周期相同。
替代地,对于钛材料沉积工艺的一个或多个沉积循环,钛前体、反应物气体的至少一个脉冲的时间间隔和它们之间的非脉动周期具有不同的持续时间。对于这种实施例,对于周期沉积工艺的一个或多个沉积循环,钛前体的时间间隔(T1)、反应物气体的时间间隔(T2)、钛前体脉冲和反应物气体脉冲之间的非脉动时间间隔(T3)、以及反应物气体脉冲和钛前体脉冲之间的非脉动时间间隔(T4)中的一个或多个可具有不同的值。例如,在第一沉积循环(C1)中,钛前体脉冲的时间间隔(T1)比后来的沉积循环(C2…Cn)中钛前体脉冲的时间间隔(T1)中的一个或多个具有长或短的持续时间。同样,第一沉积循环(C1)中反应物气体的每个脉冲的持续时间以及钛前体与反应物气体脉冲之间的非脉动周期可分别与后来的沉积循环(C2…Cn)中反应物气体的每个脉冲的持续时间以及钛前体与反应物气体脉冲之间的非脉动周期相同或不同。
在一些实施例中,可以通过交替清洗和非清洗周期,将恒定的载气或清洗气体流提供给通过清洗和非清洗的交替周期调整的处理室,其中脉动周期在连同载气/清洗气流一起的钛前体和反应物气体之间交替,而非脉动周期仅包括载气/清洗气流。
在一个实例中,可以通过CVD工艺在氮化铝钛材料上形成铜籽晶层,其后通过ECP工艺沉积铜锭以填充该互连。在另一实例中,可以通过PVD工艺在氮化铝钛材料上形成铜籽晶层,其后通过ECP工艺沉积铜锭以填充该互连。在另一实例中,可以通过无电镀工艺在氮化铝钛材料上形成铜籽晶层,其后通过ECP工艺沉积铜锭以填充该互连。在另一实例中,氮化铝钛材料用作籽晶层,在该籽晶层上通过ECP工艺或无电镀沉积工艺直接沉积铜锭填充。
在另一实例中,可以通过PE-ALD工艺在氮化铝钛材料上形成钨籽晶层,其后通过CVD工艺或脉冲-CVD工艺沉积钨锭以填充该互连。在另一实例中,可以通过PVD工艺在氮化铝钛材料上形成钨籽晶层,其后通过CVD工艺或脉冲-CVD工艺沉积钨锭以填充该互连。在另一实例中,可以通过PE-ALD工艺在氮化铝钛材料上形成钨籽晶层,其后通过ECP工艺沉积钨锭以填充该互连。在另一实例中,氮化铝钛材料用作籽晶层,在其上通过CVD工艺或脉冲CVD工艺直接沉积钨锭填充。
在另一实例中,可以通过PE-ALD工艺在氮化铝钛材料上形成包含钴或钌的籽晶层,其后通过CVD工艺或脉冲-CVD工艺沉积块钨或铜以填充该互连。在另一实例中,可以通过PVD工艺在氮化铝钛材料上形成包含钴或钌的籽晶层,其后通过CVD工艺或脉冲-CVD工艺沉积块钨或铜以填充该互连。在另一实例中,可以通过PE-ALD工艺在氮化铝钛材料上形成包含钴或钌的籽晶层,其后通过ECP工艺沉积块钨或铜以填充该互连。
在另一实施例中,电容电极,如在动态随机存取存储器(DRAM)中使用的,包含通过这里描述的工艺形成的氮化铝钛材料。在一个实例中,底部电极包含在沟槽的底表面上沉积的氮化铝钛,该沟槽形成在如氧化硅的氧化物材料内。包含氮化铝材料的底部电极可具有在从约至约
Figure BPA00001350865300202
优选从约至约
Figure BPA00001350865300204
范围内的厚度,例如,约
Figure BPA00001350865300205
或约
Figure BPA00001350865300206
的厚度。该底部表面可以是包含多晶硅或金属,如钨、铜、铝、银、它们的合金或它们的衍生物,的接触层。该DRAM电容器可进一步包括布置在底部电极上的高k氧化物层,并且顶部电极布置在该高K氧化物层上。该高K氧化物层可以包含高K氧化物,如氧化锆、氧化钛锶、钛酸钡锶或它们的衍生物。
在这里提供的一些实施例中,在包含铜或铜合金的互连内部形成氮化铝钛材料/层之前和/或之后,可以执行几个集成步骤。在一个实例中,随后的步骤如下:a)预清洗衬底;b)通过PE-ALD沉积包含氮化铝钛的阻挡层;c)通过无电镀、ECP或PVD沉积铜籽晶层;和d)通过ECP沉积铜锭。在另一实例中,随后的步骤如下:a)沉积阻挡层(例如,TiAlN的PE-ALD);b)穿通步骤;c)通过PE-ALD沉积氮化铝钛;d)通过无电镀、ECP或PVD沉积铜籽;和e)通过ECP沉积铜锭。在另一实例中,随后的步骤如下:a)通过PE-ALD沉积氮化铝钛;b)穿通步骤;c)通过PE-ALD沉积氮化铝钛;d)通过无电镀、ECP或PVD沉积铜籽晶层;和e)通过无电镀、ECP或PVD沉积铜锭。在另一实例中,随后的步骤如下:a)通过PE-ALD沉积氮化铝钛;b)穿通步骤;c)通过PE-ALD沉积氮化铝钛;和d)通过无电镀或ECP沉积铜。在另一实施例中,随后的步骤如下:a)预清洗衬底;b)通过PE-ALD沉积氮化铝钛;c)通过无电镀、ECP或PVD沉积铜籽晶层;和d)通过ECP沉积铜锭。在另一实例中,随后的步骤如下:a)沉积阻挡层(例如,TiAlN的PE-ALD);b)通过PE-ALD沉积氮化铝钛;c)穿通步骤;d)通过PE-ALD沉积氮化铝钛;e)通过无电镀、ECP或PVD沉积铜籽;和f)通过ECP沉积铜锭。在另一实例中,随后的步骤如下:a)沉积阻挡层(例如,TiAlN的PE-ALD);b)穿通步骤;c)沉积阻挡层(例如,TiAlN的PE-ALD);d)通过PE-ALD沉积氮化铝钛;和e)通过无电镀、ECP或PVD沉积铜籽;和f)通过ECP沉积铜锭。在一个实例中,随后的步骤如下:a)预清洗衬底;b)沉积阻挡层(例如,TiAlN的PE-ALD);c)通过PE-ALD沉积氮化铝钛;和d)通过无电镀或ECP沉积铜锭。
在其它实施例中,在包含钨、钨合金、铜或铜合金的互连内部形成氮化铝钛材料/层之前和/或之后,可以进行几个其它集成步骤。在一个实例中,随后的步骤如下:a)预清洗衬底;b)通过PE-ALD沉积包含氮化铝钛的阻挡层;c)通过无电镀、ECP或PVD沉积包含钴或钌的籽晶层;和d)通过ECP沉积包含铜或钨的本征层。在另一实例中,随后的步骤如下:a)沉积阻挡层(例如,TiAlN的PE-ALD);b)穿通步骤;c)通过PE-ALD沉积氮化铝钛;d)通过无电镀、ECP或PVD沉积包含钴或钌的籽晶层;和e)通过ECP沉积包含铜或钨的本征层。在另一实例中,随后的步骤如下:a)通过PE-ALD沉积氮化铝钛;b)穿通步骤;c)通过PE-ALD沉积氮化铝钛;d)通过无电镀、ECP或PVD沉积包含钴或钌的籽晶层;和e)通过无电镀、ECP或PVD沉积包含铜或钨的本征层。在另一实例中,随后的步骤如下:a)通过PE-ALD沉积氮化铝钛;b)穿通步骤;c)通过PE-ALD沉积氮化铝钛;和d)通过无电镀或ECP沉积铜。在另一实施例中,随后的步骤如下:a)预清洗衬底;b)通过PE-ALD沉积氮化铝钛;c)通过无电镀、ECP或PVD沉积包含钴或钌的籽晶层;和d)通过ECP沉积包含铜或钨的本征层。在另一实例中,随后的步骤如下:a)沉积阻挡层(例如,TiAlN的PE-ALD);b)通过PE-ALD沉积氮化铝钛;c)穿通步骤;d)通过PE-ALD沉积氮化铝钛;e)通过无电镀、ECP或PVD沉积包含钴或钌的籽晶层;和f)通过ECP沉积包含铜或钨的本征层。在另一实例中,随后的步骤如下:a)沉积阻挡层(例如,TiAlN的PE-ALD);b)穿通步骤;c)沉积阻挡层(例如,TiAlN的PE-ALD);d)通过PE-ALD沉积氮化铝钛;和e)通过无电镀、ECP或PVD沉积包含钴或钌的籽晶层;和f)通过ECP沉积包含铜或钨的本征层。在一个实例中,随后的步骤如下:a)预清洗衬底;b)沉积阻挡层(例如,TiAlN的PE-ALD);c)通过PE-ALD沉积氮化铝钛;和d)通过无电镀或ECP沉积包含铜或钨的本征层。
预清洗步骤包括用来清洗或净化通孔的方法,如移除通孔底部上的残渣(例如,碳)或还原铜金属的氧化铜。穿通步骤包括从通孔的底部移除材料(例如,阻挡层)以暴露例如铜的导电层方法。在共同受让的美国专利No.6498091中更详细地描述了穿通步骤的进一步公开,其全部作为参考包含在这里。该穿通步骤可以在处理室中进行,如屏蔽室或清洗室。在本发明的实施例中,将清洗步骤和穿通步骤应用于氮化铝钛阻挡层。共同受让的美国专利No.7049226中更详细地描述了全部集成方法的进一步公开,其全部作为参考包含在这里。在一些实施例中,在这里描述的PE-ALD工艺期间形成氮化铝钛材料可以具有小于2000μΩ-cm,优选小于1000μΩ-cm,且更优选小于500μΩ-cm的薄膜电阻。
在另一实施例中,这里描述的氮化铝钛材料可以用来形成存储器件电极,如相变存储器(PCM)电极或相变随机存取存储器(PRAM)电极。该PRAM电容器利用了氧族材料或玻璃的通过加热能够在晶态和非晶态之间改变或切换的独特行为。该PRAM电容器可包含:底部电极,含有氮化铝钛材料并布置在接触表面上方;高阻层(电阻器),包含在底部电极上方布置的氮化铝钛材料;相变材料层,布置在电阻层或电阻器的上方;和顶部电极,可包含布置在相变材料上方的氮化铝钛材料。该相变材料层可以是硫属化合物合金或硫属玻璃,并且包含锗、锑、碲、硒、铟、银、它们的合金、它们的衍生物或它们的组合。相变材料层可以包含的一些典型的合金包括锗锑碲合金、锗锑碲硒合金、银铟锑碲合金、银铟锑硒碲合金、银硒合金、锑硒合金、锑碲合金、铟锑硒合金、铟锑碲合金、锗锑硒合金、它们的合金、它们的衍生物或他们的组合。接触表面可以是包含一层或多层金属和/或其它导电材料的材料的表面,该导电材料包括钛、钨、铜、钴、钌、镍、铂、铝、银、多晶硅、掺杂的多晶硅、它们的衍生物、它们的合金或它们的组合。
在另一实施例中,在埋设字线(bWL)或埋设位线(bBL)的动态随机存取存储器(DRAM)内部,可以包括至少一个包含这里描述的氮化铝钛材料的层。在一些实例中,包含氮化铝钛材料的衬层可以包含在DRAM bWL或DRAM bBL内。该衬层可以布置在氧化物膜和/或接触表面的上面或上方,并且低电阻材料可以布置在该线性膜上或上方,用作填充材料。在一些实例中,可以不存在低电阻材料,并且在填充材料/层内部可以包含含有氮化铝钛材料的衬层。该接触表面可以是包含一层或多层金属和/或其它导电材料的材料的表面,该导电材料包括钛、钨、铜、钴、钌、镍、铂、铝、银、多晶硅、掺杂的多晶硅、它们的衍生物、它们的合金或它们的组合。
在其它实施例中,逻辑或外围DRAM金属栅极可包含这里描述的氮化铝套材料。该金属栅集成模式可以遵循先栅模式或后栅模式。先栅模式可包含:布置在高K氧化物层上或上方的包含氮化铝钛材料的功函数材料/层,和布置在该功函数层上或上方的硬掩模层。该高K氧化物层至少包含一种高k材料,如,氧化铪、硅酸铪、硅酸铝铪、氧化锆、氧化钛锶、钛酸钡锶、它们的衍生物、它们的硅酸盐、它们的铝酸盐或它们的组合。高k氧化物层可以包含单层的高k材料,或者可以包含多层高k材料,如高k叠层。该硬掩模层可以包含多晶硅、氮化钛或它们的衍生物。在后栅模式中,功函数材料/层和/或阻挡层可以独立包含这里描述的氮化铝钛材料。在用作功函数材料时,氮化铝钛可以布置在硬掩模材料(例如,氮化钛)上或直接在高k材料(例如,氧化铪或其衍生物)上。用于低电阻填充的润湿层,如金属钛、钛合金或它们的衍生物,可以布置在功函数材料上。包含氮化铝钛材料的阻挡层可以布置在功函数材料/层上,功函数材料/层如氮化钛、钴、镍、钌或它们的衍生物。用作低电阻填充的润湿层,如钛或它们的衍生物,可以布置在阻挡层上。
这里使用的“衬底表面”,指形成在制造工艺期间在其上进行膜处理的衬底上的任何衬底或材料表面。例如,根据应用,可在其上进行处理的衬底表面包括材料,如硅、氧化硅、应变硅、绝缘体上硅(SOI)、碳掺杂的氧化硅、氮化硅、掺杂的硅、锗、砷化镓、玻璃、蓝宝石,和任何其它材料如金属、金属氮化物、金属合金和其它导电材料。衬底表面上的阻挡层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽和氮化钽。衬底可以具有不同的尺寸,如200mm或300mm直径的晶片,也可以是矩形或方形的平板。除非另有说明,这里描述的实施例和实例优选在具有200mm直径或300mm直径、更优选300mm直径的衬底上进行。这里描述的实施例的工艺在许多衬底和表面上沉积氮化钛、氮化铝钛、其他钛材料(例如,金属钛或氮化硅钛)和氮化铝材料。本发明的实施例可以使用的衬底包括但不限于半导体晶片,如结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、锗化硅、掺杂或非掺杂的多晶硅、掺杂或非掺杂的硅晶片和图案化或非图案化的晶片。衬底可以遭受预处理,以抛光、蚀刻、还原、氧化、羟化、退火和/或烘焙衬底表面。
这里使用的“原子层沉积”(ALD)或“循环沉积”指连续引入两种或多种反应化合物以在衬底表面上沉积一层材料。两种、三种或多种反应化合物可以交替引入到处理室的反应区或处理区中。该反应化合物可以处于气态、等离子体、气相、液体或其它状态的有利于气相沉积工艺的物质。通常,每种反应化合物通过延时分开,以允许每个化合物粘附到衬底表面上和/或在衬底表面上反应。一方面,第一前体或化合物A被脉冲输送到反应区中,随后第一延时。接下来,第二前体或化合物B被脉冲输送到反应区中,随后延时第二延时。化合物A和化合物B反应,以形成沉积材料。在每次延时期间,净化气体被引入到处理室中,以净化反应区或移除任何残留的反应化合物或来自反应区的副产物。替代地,净化气体可以在整个沉积工艺期间连续流动,使得仅净化气体在反应化合物脉冲之间的延时期间流动。交替地脉冲输送反应化合物,直到期望膜厚度的沉积材料形成在衬底表面上。在任一方案中,脉冲输送化合物A、净化气体、脉冲输送化合物B和净化气体的ALD是一个循环。一个循环可以从化合物A或化合物B开始,并继续该循环的各自次序,直到实现具有期望厚度的膜。在另一实施例中,包含化合物A的第一前体、包含化合物B的第二前体、和包含化合物C的第三前体每个都被单独地脉冲输送到处理室中。替代地,第一前体的脉冲可以与第二前体的脉冲时间重叠,而第三前体的脉冲与第一和第二前体的脉冲时间不重叠。这里使用的沉积气体或工艺气体指的是单一气体、多种气体、包含等离子体的气体、气体和/或等离子体的组合。沉积气体可以至少包含一种用于气相沉积工艺的反应化合物。在气相沉积工艺期间,反应化合物可以处于气体、等离子体、蒸汽、液体的状态。而且,工艺可包含清洗气体或载气,并且不包含反应化合物。
虽然前文关注于本发明的优选实施例,但是在没有偏离本发明的基本范围的情况下,可以设计本发明的其它和更多的实施例,并且本发明的范围由所附的权利要求确定。

Claims (15)

1.一种用于在衬底表面上形成氮化铝钛材料的方法,包括:
在等离子体增强原子层沉积工艺期间,将衬底顺次暴露于钛前体气体和氮等离子体,以在衬底上形成氮化钛层;
在处理工艺期间,将所述氮化钛层暴露于等离子体;
在气相沉积工艺期间,将所述氮化钛层暴露于铝前体气体,同时在其上面沉积铝层;以及
顺次重复所述等离子体增强原子层沉积工艺、处理工艺和气相沉积工艺,以由所述氮化钛层和所述铝层形成氮化铝钛材料。
2.根据权利要求1所述的方法,其中所述钛前体气体包括选自由四(二甲氨基)钛、四(二乙氨基)钛、四(甲基乙氨基)钛及其衍生物构成的组的钛前体。
3.根据权利要求1所述的方法,其中所述铝前体气体包括选自由三(叔戊基)铝、三甲基铝、氯化铝及其衍生物构成的组的铝前体。
4.根据权利要求1所述的方法,其中所述氮等离子体由选自由氮、氨、氢及其衍生物以及其混合物构成的组的气体。
5.根据权利要求1所述的方法,其中所述钛前体是四(二甲氨基)钛,所述铝前体是三(叔戊基)铝,以及所述氮等离子体由包含氮(N2)或氨的气体形成。
6.根据权利要求1所述的方法,其中在处理工艺期间暴露于所述氮化钛层的等离子体是由包括氮(N2)或氨的气体形成的。
7.根据权利要求1所述的方法,其中所述氮化铝钛材料为衬底上的金属栅极层,并且所述金属栅极层具有从约
Figure FPA00001350865200011
至约
Figure FPA00001350865200012
范围内的厚度。
8.根据权利要求1所述的方法,其中氮化铝钛材料为衬底上的阻挡层,并且所述阻挡层具有从约
Figure FPA00001350865200013
至约范围内的厚度。
9.根据权利要求8所述的方法,其中含金属层沉积在所述阻挡层上方,并且所述含金属层包括铜、钴或钌。
10.根据权利要求1所述的方法,其中所述氮化铝钛材料是衬底上的电容器内的电极层,并且所述氮化铝钛材料的电极层具有从约至约
Figure FPA00001350865200016
范围内的厚度。
11.一种用于在衬底表面上形成氮化铝钛材料的方法,包括:
将衬底顺次暴露于钛前体气体和氮前体,同时在其上面形成第一氮化钛层;
在处理工艺期间将所述第一氮化钛层暴露于等离子体;
将所述第一氮化钛层暴露于铝前体气体,同时在其上面沉积第一铝层;
将衬底顺次暴露于所述钛前体气体和所述氮前体,同时在所述第一铝层上形成第二氮化钛层;
在处理工艺期间,将所述第二氮化钛层暴露于所述等离子体;以及
将所述第二氮化钛层暴露于所述铝前体气体,同时在其上面沉积第二铝层。
12.一种用于在衬底表面上形成氮化铝钛材料的方法,包括:
将衬底顺次暴露于钛前体气体和氮前体,同时在其上面形成第一氮化钛层;
在第一处理工艺期间,将所述第一氮化钛层暴露于第一等离子体;
将所述第一氮化钛层暴露于铝前体气体,同时在其上面沉积第一铝层;
在第二处理工艺期间,将所述第一铝层暴露于第二等离子体;
将所述衬底顺次暴露于所述钛前体气体和所述氮前体,同时在所述第一铝层上形成第二氮化钛层;
在所述第一处理工艺期间,将所述第二氮化钛层暴露于所述第一等离子体;
将所述第二氮化钛层暴露于所述铝前体气体,同时在其上面沉积第二铝层;以及
在第二处理工艺期间,将所述第二铝层暴露于所述第二等离子体。
13.一种用于在衬底表面上形成氮化铝钛材料的方法,包括:
将衬底暴露于包括钛前体气体和铝前体的沉积气体,同时在其上面形成吸收层;
将所述吸收层暴露于氮等离子体,同时在所述衬底上形成氮化铝钛层;以及
重复进行对于所述沉积气体和氮等离子体的顺次暴露,以在所述衬底上形成多个氮化铝钛层。
14.一种动态随机存取存储电容器,包括:
底电极,该底电极包括氮化铝钛且设置在接触表面上方;
高k氧化物层,该高k氧化物层设置在该底电极上方;以及
顶电极,该顶电极包括氮化铝钛并且设置在高k氧化物层上方。
15.根据权利要求14的DRAM电容器,其中:
所述接触表面包括选自由钛、钨、铜、钴、钌、镍、铂、铝、银、多晶硅、掺杂的多晶硅、其衍生物、其合金以及其组合物构成的组的材料;
所述高k氧化物层包括选自由氧化铪、硅酸铪、硅酸铝铪、氧化锆、氧化钛锶、钛酸钡锶、其衍生物、其硅酸盐、其铝酸盐以及其组合构成的组的高k材料;以及
所述底电极、高k氧化物层和顶电极位于沟槽内,该沟槽形成在设置在衬底上的氧化物材料中。
CN2009801429609A 2008-10-27 2009-10-27 三元化合物的气相沉积方法 Pending CN102197459A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10875508P 2008-10-27 2008-10-27
US61/108,755 2008-10-27
PCT/US2009/062174 WO2010062582A2 (en) 2008-10-27 2009-10-27 Vapor deposition method for ternary compounds

Publications (1)

Publication Number Publication Date
CN102197459A true CN102197459A (zh) 2011-09-21

Family

ID=42116663

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801429609A Pending CN102197459A (zh) 2008-10-27 2009-10-27 三元化合物的气相沉积方法

Country Status (5)

Country Link
US (1) US20100102417A1 (zh)
JP (1) JP2012506947A (zh)
KR (1) KR20110084275A (zh)
CN (1) CN102197459A (zh)
WO (1) WO2010062582A2 (zh)

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102296278A (zh) * 2011-09-26 2011-12-28 中国科学院微电子研究所 一种氮化铝薄膜的制备方法
CN103295956A (zh) * 2013-05-25 2013-09-11 复旦大学 一种利用等离子体增强原子层淀积工艺制备超薄钌薄膜的方法
CN103441214A (zh) * 2013-08-02 2013-12-11 浙江大学 一种阻变存储器的制备方法
CN104630744A (zh) * 2015-01-21 2015-05-20 江南大学 一种以氨基钛为钛源的Al/Ti薄膜原子层沉积方法
CN104737275A (zh) * 2012-10-26 2015-06-24 应用材料公司 沉积无氟/碳保形钨的方法
CN106367730A (zh) * 2015-07-24 2017-02-01 气体产品与化学公司 用于沉积第13族金属或类金属氮化物膜的方法
CN108886093A (zh) * 2016-02-19 2018-11-23 Arm有限公司 控制碳的相关电子材料设备的制造方法
CN110055511A (zh) * 2018-01-18 2019-07-26 东京毅力科创株式会社 钨膜的成膜方法和成膜系统
CN110923659A (zh) * 2018-09-20 2020-03-27 东京毅力科创株式会社 成膜方法及基板处理系统
CN112640047A (zh) * 2018-09-14 2021-04-09 应用材料公司 选择性氧化铝膜沉积
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing

Families Citing this family (423)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8291857B2 (en) 2008-07-03 2012-10-23 Applied Materials, Inc. Apparatuses and methods for atomic layer deposition
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9611544B2 (en) 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9257274B2 (en) 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US20110256734A1 (en) 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US9892917B2 (en) 2010-04-15 2018-02-13 Lam Research Corporation Plasma assisted atomic layer deposition of multi-layer films for patterning applications
US9076646B2 (en) 2010-04-15 2015-07-07 Lam Research Corporation Plasma enhanced atomic layer deposition with pulsed plasma exposure
US8956983B2 (en) 2010-04-15 2015-02-17 Novellus Systems, Inc. Conformal doping via plasma activated atomic layer deposition and conformal film deposition
US8637411B2 (en) 2010-04-15 2014-01-28 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US9390909B2 (en) 2013-11-07 2016-07-12 Novellus Systems, Inc. Soft landing nanolaminates for advanced patterning
US9997357B2 (en) 2010-04-15 2018-06-12 Lam Research Corporation Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors
US9373500B2 (en) 2014-02-21 2016-06-21 Lam Research Corporation Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications
US9685320B2 (en) 2010-09-23 2017-06-20 Lam Research Corporation Methods for depositing silicon oxide
US8524612B2 (en) 2010-09-23 2013-09-03 Novellus Systems, Inc. Plasma-activated deposition of conformal films
JP5702584B2 (ja) * 2010-11-30 2015-04-15 株式会社日立国際電気 半導体デバイスの製造方法および基板処理装置
JP2012219330A (ja) * 2011-04-08 2012-11-12 Ulvac Japan Ltd 相変化メモリの形成装置、及び相変化メモリの形成方法
US8647993B2 (en) 2011-04-11 2014-02-11 Novellus Systems, Inc. Methods for UV-assisted conformal film deposition
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9112003B2 (en) 2011-12-09 2015-08-18 Asm International N.V. Selective formation of metallic films on metallic surfaces
US8623468B2 (en) * 2012-01-05 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of fabricating metal hard masks
US8592328B2 (en) 2012-01-20 2013-11-26 Novellus Systems, Inc. Method for depositing a chlorine-free conformal sin film
US8728955B2 (en) 2012-02-14 2014-05-20 Novellus Systems, Inc. Method of plasma activated deposition of a conformal film on a substrate surface
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
TWI622664B (zh) * 2012-05-02 2018-05-01 Asm智慧財產控股公司 相穩定薄膜,包括該薄膜之結構及裝置,及其形成方法
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
KR102207992B1 (ko) 2012-10-23 2021-01-26 램 리써치 코포레이션 서브-포화된 원자층 증착 및 등각막 증착
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
SG2013083654A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Methods for depositing films on sensitive substrates
US8852996B2 (en) * 2012-12-20 2014-10-07 Intermolecular, Inc. Carbon doped resistive switching layers
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US9659814B2 (en) 2013-02-01 2017-05-23 Applied Materials, Inc. Doping control of metal nitride films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8956939B2 (en) * 2013-04-29 2015-02-17 Asm Ip Holding B.V. Method of making a resistive random access memory device
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
TWI611045B (zh) * 2014-02-03 2018-01-11 東京威力科創股份有限公司 用於無孔隙銅填充之ald阻障層及cvd釕襯墊之整合
TWI739285B (zh) 2014-02-04 2021-09-11 荷蘭商Asm Ip控股公司 金屬、金屬氧化物與介電質的選擇性沉積
US9214334B2 (en) 2014-02-18 2015-12-15 Lam Research Corporation High growth rate process for conformal aluminum nitride
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10047435B2 (en) 2014-04-16 2018-08-14 Asm Ip Holding B.V. Dual selective deposition
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9478438B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor
US9478411B2 (en) 2014-08-20 2016-10-25 Lam Research Corporation Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9190266B1 (en) 2014-08-27 2015-11-17 The Regents Of The University Of California High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102216575B1 (ko) * 2014-10-23 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US9564312B2 (en) 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
KR101713718B1 (ko) * 2015-02-23 2017-03-08 현대자동차 주식회사 연료전지용 분리판의 코팅 방법 및 연료전지용 분리판
US9490145B2 (en) 2015-02-23 2016-11-08 Asm Ip Holding B.V. Removal of surface passivation
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10566187B2 (en) 2015-03-20 2020-02-18 Lam Research Corporation Ultrathin atomic layer deposition film accuracy thickness control
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10526701B2 (en) 2015-07-09 2020-01-07 Lam Research Corporation Multi-cycle ALD process for film uniformity and thickness profile modulation
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US11421321B2 (en) 2015-07-28 2022-08-23 Asm Ip Holding B.V. Apparatuses for thin film deposition
US10204790B2 (en) 2015-07-28 2019-02-12 Asm Ip Holding B.V. Methods for thin film deposition
US10428421B2 (en) 2015-08-03 2019-10-01 Asm Ip Holding B.V. Selective deposition on metal or metallic surfaces relative to dielectric surfaces
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US10566185B2 (en) 2015-08-05 2020-02-18 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US10121699B2 (en) 2015-08-05 2018-11-06 Asm Ip Holding B.V. Selective deposition of aluminum and nitrogen containing material
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10118828B2 (en) * 2015-10-02 2018-11-06 Asm Ip Holding B.V. Tritertbutyl aluminum reactants for vapor deposition
US10695794B2 (en) 2015-10-09 2020-06-30 Asm Ip Holding B.V. Vapor phase deposition of organic films
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11081342B2 (en) 2016-05-05 2021-08-03 Asm Ip Holding B.V. Selective deposition using hydrophobic precursors
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10453701B2 (en) 2016-06-01 2019-10-22 Asm Ip Holding B.V. Deposition of organic films
US10373820B2 (en) 2016-06-01 2019-08-06 Asm Ip Holding B.V. Deposition of organic films
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9773643B1 (en) 2016-06-30 2017-09-26 Lam Research Corporation Apparatus and method for deposition and etch in gap fill
US10062563B2 (en) 2016-07-01 2018-08-28 Lam Research Corporation Selective atomic layer deposition with post-dose treatment
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10037884B2 (en) 2016-08-31 2018-07-31 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
US11430656B2 (en) 2016-11-29 2022-08-30 Asm Ip Holding B.V. Deposition of oxide thin films
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US11094535B2 (en) 2017-02-14 2021-08-17 Asm Ip Holding B.V. Selective passivation and selective deposition
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10170321B2 (en) * 2017-03-17 2019-01-01 Applied Materials, Inc. Aluminum content control of TiAIN films
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11501965B2 (en) 2017-05-05 2022-11-15 Asm Ip Holding B.V. Plasma enhanced deposition processes for controlled formation of metal oxide thin films
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US11170993B2 (en) 2017-05-16 2021-11-09 Asm Ip Holding B.V. Selective PEALD of oxide on dielectric
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11942365B2 (en) 2017-06-02 2024-03-26 Eugenus, Inc. Multi-region diffusion barrier containing titanium, silicon and nitrogen
US11401607B2 (en) * 2017-06-02 2022-08-02 Eugenus, Inc. TiSiN coating method
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10900120B2 (en) 2017-07-14 2021-01-26 Asm Ip Holding B.V. Passivation against vapor deposition
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10269559B2 (en) 2017-09-13 2019-04-23 Lam Research Corporation Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10665685B2 (en) 2017-11-30 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and fabrication method thereof
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US11479674B2 (en) * 2018-03-23 2022-10-25 Nisshin Engineering Inc. Composite particles comprising TiN powder and method for producing the composite particles
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
JP7146690B2 (ja) 2018-05-02 2022-10-04 エーエスエム アイピー ホールディング ビー.ブイ. 堆積および除去を使用した選択的層形成
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
CN112292476A (zh) * 2018-06-28 2021-01-29 东京毅力科创株式会社 成膜方法、成膜系统以及成膜装置
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
JP2020056104A (ja) 2018-10-02 2020-04-09 エーエスエム アイピー ホールディング ビー.ブイ. 選択的パッシベーションおよび選択的堆積
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11965238B2 (en) 2019-04-12 2024-04-23 Asm Ip Holding B.V. Selective deposition of metal oxides on metal surfaces
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
KR102225772B1 (ko) * 2019-10-17 2021-03-09 연세대학교 원주산학협력단 폴리이미드와 그래핀 옥사이드 복합소재를 기반으로 한 고수율 저항 변화 메모리 소자의 제조방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
US11139163B2 (en) 2019-10-31 2021-10-05 Asm Ip Holding B.V. Selective deposition of SiOC thin films
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
TW202140833A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 相對於金屬表面在介電表面上之氧化矽的選擇性沉積
TW202204658A (zh) 2020-03-30 2022-02-01 荷蘭商Asm Ip私人控股有限公司 在兩不同表面上同時選擇性沉積兩不同材料
TW202140832A (zh) 2020-03-30 2021-11-01 荷蘭商Asm Ip私人控股有限公司 氧化矽在金屬表面上之選擇性沉積
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
WO2022204663A1 (en) * 2021-03-22 2022-09-29 Eugenus, Inc. Conformal and smooth titanium nitride layers and methods of forming the same
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230399743A1 (en) * 2022-06-13 2023-12-14 Tokyo Electron Limited Cyclic Film Deposition Using Reductant Gas

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020187631A1 (en) * 2000-12-06 2002-12-12 Ki-Bum Kim Copper interconnect structure having stuffed diffusion barrier
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
CN1575517A (zh) * 2001-10-26 2005-02-02 应用材料公司 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
CN101015047A (zh) * 2004-07-20 2007-08-08 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US20080194106A1 (en) * 2007-02-13 2008-08-14 Samsung Electronics Co., Ltd. Method of forming a titanium aluminum nitride layer and method of manufacturing a phase-change memory device using the same

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR19990051335A (ko) * 1997-12-19 1999-07-05 윤종용 원자층 증착에 의한 tialn의 증착방법 및 이 방법에 의해 형성되는 tialn 박막을 이용한 반도체 소자의 고유전체 커패시터
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
US6858524B2 (en) * 2002-12-03 2005-02-22 Asm International, Nv Method of depositing barrier layer for metal gates
JP2004277864A (ja) * 2003-03-18 2004-10-07 Toshiba Corp 成膜方法及び成膜装置
US6909137B2 (en) * 2003-04-07 2005-06-21 International Business Machines Corporation Method of creating deep trench capacitor using a P+ metal electrode
KR100722772B1 (ko) * 2006-05-03 2007-05-30 삼성전자주식회사 박막 구조물 및 이의 박막 구조물 형성 방법과, 커패시터및 이의 커패시터 형성 방법
US7439180B2 (en) * 2006-07-28 2008-10-21 International Business Machines Corporation Dispenser system for atomic beam assisted metal organic chemical vapor deposition (MOCVD)
KR100746631B1 (ko) * 2006-09-19 2007-08-08 주식회사 하이닉스반도체 메탈 퓨즈를 구비한 반도체 소자의 형성방법
KR100873890B1 (ko) * 2006-11-17 2008-12-15 삼성전자주식회사 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법
KR100852237B1 (ko) * 2007-03-15 2008-08-13 삼성전자주식회사 티타늄 알루미늄 질화막의 형성 방법 및 이를 이용한상변화 메모리 소자의 형성 방법
KR100852210B1 (ko) * 2007-04-26 2008-08-13 삼성전자주식회사 커패시터 유닛 및 그 형성 방법

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020187631A1 (en) * 2000-12-06 2002-12-12 Ki-Bum Kim Copper interconnect structure having stuffed diffusion barrier
CN1575517A (zh) * 2001-10-26 2005-02-02 应用材料公司 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽
US20050009325A1 (en) * 2003-06-18 2005-01-13 Hua Chung Atomic layer deposition of barrier materials
CN101015047A (zh) * 2004-07-20 2007-08-08 应用材料股份有限公司 以钽前驱物taimata进行含钽材料的原子层沉积
US20080194106A1 (en) * 2007-02-13 2008-08-14 Samsung Electronics Co., Ltd. Method of forming a titanium aluminum nitride layer and method of manufacturing a phase-change memory device using the same

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
YONG JU LEE AND SANG-WON KANG: "Ti-Al-N Thin Films Prepared by the Combination of Metallorganic Plasma-Enhanced Atomic Deposition of Al and Tin", 《ELECTROCHEMICAL AND SOLID-STATE LETTERS》 *

Cited By (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102296278A (zh) * 2011-09-26 2011-12-28 中国科学院微电子研究所 一种氮化铝薄膜的制备方法
US11887855B2 (en) 2012-10-26 2024-01-30 Applied Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
US10985023B2 (en) 2012-10-26 2021-04-20 Applied Materials, Inc. Methods for depositing fluorine/carbon-free conformal tungsten
CN104737275A (zh) * 2012-10-26 2015-06-24 应用材料公司 沉积无氟/碳保形钨的方法
US11043386B2 (en) 2012-10-26 2021-06-22 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
US11887856B2 (en) 2012-10-26 2024-01-30 Applied Materials, Inc. Enhanced spatial ALD of metals through controlled precursor mixing
CN103295956A (zh) * 2013-05-25 2013-09-11 复旦大学 一种利用等离子体增强原子层淀积工艺制备超薄钌薄膜的方法
CN103441214A (zh) * 2013-08-02 2013-12-11 浙江大学 一种阻变存储器的制备方法
CN103441214B (zh) * 2013-08-02 2015-10-21 浙江大学 一种阻变存储器的制备方法
CN104630744A (zh) * 2015-01-21 2015-05-20 江南大学 一种以氨基钛为钛源的Al/Ti薄膜原子层沉积方法
CN104630744B (zh) * 2015-01-21 2017-06-16 江南大学 一种以氨基钛为钛源的Al/Ti薄膜原子层沉积方法
CN106367730B (zh) * 2015-07-24 2020-06-02 弗萨姆材料美国有限责任公司 用于沉积第13族金属或类金属氮化物膜的方法
CN106367730A (zh) * 2015-07-24 2017-02-01 气体产品与化学公司 用于沉积第13族金属或类金属氮化物膜的方法
CN108886093A (zh) * 2016-02-19 2018-11-23 Arm有限公司 控制碳的相关电子材料设备的制造方法
CN110055511B (zh) * 2018-01-18 2021-10-22 东京毅力科创株式会社 钨膜的成膜方法和成膜系统
CN110055511A (zh) * 2018-01-18 2019-07-26 东京毅力科创株式会社 钨膜的成膜方法和成膜系统
CN112640047A (zh) * 2018-09-14 2021-04-09 应用材料公司 选择性氧化铝膜沉积
US11171004B2 (en) 2018-09-20 2021-11-09 Tokyo Electron Limited Film forming method and substrate processing system
CN110923659A (zh) * 2018-09-20 2020-03-27 东京毅力科创株式会社 成膜方法及基板处理系统

Also Published As

Publication number Publication date
WO2010062582A2 (en) 2010-06-03
KR20110084275A (ko) 2011-07-21
JP2012506947A (ja) 2012-03-22
WO2010062582A3 (en) 2010-08-26
US20100102417A1 (en) 2010-04-29

Similar Documents

Publication Publication Date Title
CN102197459A (zh) 三元化合物的气相沉积方法
JP5965955B2 (ja) 原子層堆積装置
KR100974114B1 (ko) 내화 금속 실리콘 나이트라이드의 주기적 증착
US7241686B2 (en) Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
KR20220024266A (ko) 저 저항 텅스텐 피처 충진을 가능하게 하는 텅스텐 핵생성 프로세스
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
CN101015047A (zh) 以钽前驱物taimata进行含钽材料的原子层沉积
CN112997291A (zh) 硫族化物材料的保形无损伤封装
TW201213589A (en) Methods for forming tungsten-containing layers
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US20160293483A1 (en) Process of filling the high aspect ratio trenches by co-flowing ligands during thermal cvd
TW202246560A (zh) 於基材表面上形成氮化硼之方法及系統

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20110921