KR20230079236A - 금속 함유 재료들을 위한 고압 어닐링 프로세스 - Google Patents

금속 함유 재료들을 위한 고압 어닐링 프로세스 Download PDF

Info

Publication number
KR20230079236A
KR20230079236A KR1020237017300A KR20237017300A KR20230079236A KR 20230079236 A KR20230079236 A KR 20230079236A KR 1020237017300 A KR1020237017300 A KR 1020237017300A KR 20237017300 A KR20237017300 A KR 20237017300A KR 20230079236 A KR20230079236 A KR 20230079236A
Authority
KR
South Korea
Prior art keywords
metal
containing layer
processing chamber
substrate
layer
Prior art date
Application number
KR1020237017300A
Other languages
English (en)
Inventor
코셜 케이. 싱
메이 섹
스리니바스 디. 네마니
엘리 와이. 이에
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20230079236A publication Critical patent/KR20230079236A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/38Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions
    • H01L21/383Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions using diffusion into or out of a solid from or into a gaseous phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/447Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428 involving the application of pressure, e.g. thermo-compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L29/78693Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate the semiconducting oxide being amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

본 개시내용은 TFT 디스플레이 애플리케이션들, 반도체 또는 메모리 애플리케이션들에서 금속 함유 층 상에 어닐링 프로세스를 수행하기 위한 방법들을 제공한다. 일 예에서, 기판 상에 금속 함유 층을 형성하는 방법은, 프로세싱 챔버에서 기판 상에 산소 함유 가스 혼합물을 공급하는 단계 ― 기판은 광학적으로 투명한 기판 상에 배치된 금속 함유 층을 포함함 ―; 약 2 bar 내지 약 50 bar의 프로세스 압력으로 프로세싱 챔버에서 산소 함유 가스 혼합물을 유지하는 단계; 및 산소 함유 가스 혼합물의 존재 하에서 금속 함유 층을 열 어닐링하는 단계를 포함한다.

Description

금속 함유 재료들을 위한 고압 어닐링 프로세스{HIGH PRESSURE ANNEALING PROCESS FOR METAL CONTAINING MATERIALS}
[0001] 본 발명의 실시예들은 일반적으로, TFT 디바이스 구조들, 반도체, 또는 메모리 애플리케이션들에서 활용될 수 있는 막 스택(stack)에 금속 함유 층을 형성하기 위한 방법에 관한 것이다.
[0002] 디스플레이 디바이스들은 광범위한 전자 애플리케이션들, 이를테면, TV, 모니터들, 모바일 폰, MP3 플레이어들, e-북 리더들, 및 PDA(personal digital assistant)들 등에 대해 광범위하게 사용되어 왔다. 디스플레이 디바이스는 일반적으로, 2개의 기판들 사이의 갭을 충전(fill)하고 유전장(dielectric field)의 세기를 제어하는 이방성 유전 상수를 갖는 액정에 전기장을 인가함으로써, 원하는 이미지를 생성하도록 설계된다. 기판들을 통해 투과되는 광의 양을 조정함으로써, 광 및 이미지 세기, 품질, 및 전력 소비가 효율적으로 제어될 수 있다.
[0003] 다양한 상이한 디스플레이 디바이스들, 이를테면, AMLCD(active matrix liquid crystal display) 또는 AMOLED(active matrix organic light emitting diode)들은 터치 스크린 패널들을 활용하는 디스플레이 디바이스들을 위한 광 소스들로서 이용될 수 있다. TFT 디바이스들의 제조에서, 높은 전자 이동도, 낮은 누설 전류, 및 높은 브레이크다운 전압을 갖는 전자 디바이스는 광 투과 및 회로망 통합을 위해 더 넓은 픽셀 영역을 가능하게 함으로써, 더 밝은 디스플레이, 더 높은 전체 전기 효율, 더 빠른 응답 시간, 및 더 높은 해상도 디스플레이들을 생성할 것이다. 디바이스에 형성된, 불순물들을 갖는 금속 전극 층과 같은 재료 층들의 낮은 막 품질들은 흔히, 열악한 디바이스 전기적 성능 및 디바이스들의 짧은 서비스 수명을 초래한다. 따라서, TFT 디바이스들 내에 막 층들을 형성 및 통합하기 위한 안정적이고 신뢰성 있는 방법은 더 낮은 임계 전압 시프트를 갖는 전자 디바이스들을 제조하는 데 사용하기 위한 낮은 막 누설 및 높은 브레이크다운 전압을 갖는 디바이스 구조를 제공하는 데 있어서 중요하게 되고, 전자 디바이스의 개선된 전체 성능이 요구된다.
[0004] 따라서, 개선된 디바이스 전기적 성능 및 디바이스 안정성을 생성하는 TFT 디바이스들을 제조하기 위한 개선된 재료들이 필요하다.
[0005] 본 개시내용은 TFT 디스플레이 애플리케이션들, 반도체, 또는 ReRAM(resistive random access memory)에서 금속 함유 층 상에 어닐링 프로세스를 수행하기 위한 방법들을 제공한다. 일 예에서, 기판 상에 금속 함유 층을 형성하는 방법은, 프로세싱 챔버에서 기판 상에 산소 함유 가스 혼합물을 공급하는 단계 ― 기판은 광학적으로 투명한 기판 상에 배치된 금속 함유 층을 포함함 ―; 2 bar 내지 50 bar의 프로세스 압력으로 프로세싱 챔버에서 산소 함유 가스 혼합물을 유지하는 단계; 및 산소 함유 가스 혼합물의 존재 하에서 금속 함유 층을 열 어닐링하는 단계를 포함한다.
[0006] 다른 예에서, 기판 상에 배치된 금속 함유 층을 고밀화(densify)하기 위한 방법은, 2 bar 초과의 압력으로 광학적으로 투명한 기판 상에 배치된 금속 함유 층을 열 처리하는 단계; 및 유전체 층을 열 처리하는 동안 섭씨 500도 미만의 기판 온도를 유지하는 단계를 포함한다.
[0007] 또 다른 예에서, 기판 상에 배치된 금속 함유 층을 고밀화하기 위한 방법은, 기판 상에 금속 함유 층을 형성하는 단계; 금속 함유 층 내에 도펀트들을 주입하는 단계; 및 섭씨 500도 미만의 기판 온도를 유지하면서 2 bar 초과의 압력으로 기판 상의 금속 함유 층을 열 처리하는 단계를 포함한다.
[0008] 본 발명의 상기 열거된 특징들이 상세히 이해될 수 있는 방식으로, 앞서 간략히 요약된 본 발명의 보다 구체적인 설명이 실시예들을 참조로 하여 이루어질 수 있는데, 이러한 실시예들의 일부는 첨부된 도면들에 예시되어 있다. 그러나, 첨부된 도면들은 본 발명의 단지 전형적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0009] 도 1은 일부 실시예들에 따른, 카세트가 내부에 배치된 프로세싱 챔버의 단순화된 정면 단면도이다.
[0010] 도 2는 도 1의 프로세싱 챔버가 포함될 수 있는 클러스터 시스템이다.
[0011] 도 3은 박막 트랜지스터 디바이스 구조의 일 예의 단면도이다.
[0012] 도 4는 박막 트랜지스터 디바이스 구조의 다른 예의 단면도이다.
[0013] 도 5는 일부 실시예들에 따른, 금속 함유 재료에 수행되는 어닐링 프로세스의 흐름도를 도시한다.
[0014] 도 6a 내지 도 6d는 일부 실시예들에 따른, 도 5의 금속 함유 재료를 열 어닐링하기 위한 시퀀스의 일 실시예를 도시한다.
[0015] 이해를 용이하게 하기 위해, 유사한 어닐링 조건들로 대기압에서 어닐링하는 것과 같이, 가능한 경우, 동일한 참조 번호들이 도면들에 대해 공통인 동일한 엘리먼트들을 지정하기 위해 사용되었다. 일 실시예의 엘리먼트들 및 특징들이 추가적인 설명 없이 다른 실시예들에 유익하게 포함될 수 있는 것으로 고려된다.
[0016] 그러나, 첨부된 도면들은 본 발명의 단지 예시적인 실시예들을 예시하는 것이므로 본 발명의 범위를 제한하는 것으로 간주되지 않아야 한다는 것이 주목되어야 하는데, 이는 본 발명이 다른 균등하게 유효한 실시예들을 허용할 수 있기 때문이다.
[0017] 본 개시내용의 실시예들은 일반적으로, TFT 디바이스 구조들, 및 디스플레이 디바이스들에 대한 전기적 성능을 향상시키기 위해, TFT 디바이스 구조들 내의 소스, 드레인, 인터페이스(interface), 및 콘택 구역들의 활성 층 상에 열 어닐링 프로세스를 수행하는 방법들을 제공한다. 반도체 또는 메모리 디바이스 제조 프로세스를 포함하는 다른 애플리케이션들이 또한, 본원에서 설명되는 실시예들을 활용할 수 있다. 열 어닐링 프로세스는 기판 상의 금속 전극들, 소스/드레인 및/또는 콘택 구역들, 및/또는 막 층들의 활성 층 막 특성들을 고밀화할 수 있다. 일 예에서, 열 처리 프로세스는 금속 함유 층, 이를테면, TFT 디바이스들에 형성된 금속 함유 층(예컨대, 활성 층) 또는 금속 전극 층(예컨대, 금속 게이트 전극, 소스-드레인 및/또는 콘택 구역들, 또는 전극 층들, 또는 다른 적합한 금속 구조들)에 열 에너지를 제공하기 위해 수행된다. 열 어닐링 프로세스는 활성 층 막 구조들 내의 산소 결핍을 최소화 또는 고밀화하여, 디바이스 구조에 양호한 품질, 인터페이스 관리, 및 열 안정화를 제공할 수 있다. 열 어닐링 프로세스는 또한, 금속 함유 재료의 결정도를 증가시켜서, 금속 함유 층의 전기적 성능을 개선할 수 있다. 따라서, 디스플레이 디바이스들 내의 소스/드레인 및/또는 콘택 구역들의 활성 층들 및 금속 전극들의 원하는 막 특성들은 트랜지스터 및 다이오드 디바이스들의 전기적 성능을 효율적으로 향상시킬 수 있다.
[0018] 도 1은 단일 기판의 고압 어닐링 프로세스를 위한 단일-기판 프로세싱 챔버(100)의 단순화된 정면 단면도이다. 단일-기판 프로세싱 챔버(100)는 내부 볼륨(115)을 밀폐하는, 외측 표면(112) 및 내측 표면(113)을 갖는 바디(110)를 갖는다. 일부 실시예들에서, 이를테면 도 1에서, 바디(110)는 환상 단면을 갖지만, 다른 실시예들에서, 바디(110)의 단면은 직사각형 또는 임의의 폐쇄된 형상일 수 있다. 바디(110)의 외측 표면(112)은 스테인리스 강과 같은(그러나 이에 제한되지는 않음) CRS(corrosion resistant steel)로 제조될 수 있다. 단일-기판 프로세싱 챔버(100)로부터 외부 환경으로의 열 손실을 방지하는 하나 이상의 열 차폐부들(125)이 바디(110)의 내측 표면(113) 상에 배치된다. 바디(110)의 내측 표면(113) 뿐만 아니라 열 차폐부들(125)은 HASTELLOY®, ICONEL®, 및 MONEL®과 같은(그러나 이에 제한되지는 않음) 부식에 대한 높은 내성을 나타내는 니켈-계 강 합금들로 제조될 수 있다.
[0019] 기판 지지부(130)가 내부 볼륨(115) 내에 배치된다. 기판 지지부(130)는 스템(stem)(134), 및 스템(134)에 의해 홀딩되는 기판-지지 부재(132)를 갖는다. 스템(134)은 챔버 바디(110)를 통해 형성된 통로(122)를 통과한다. 액추에이터(138)에 연결된 로드(rod)(139)는 챔버 바디(110)를 통해 형성된 제2 통로(123)를 통과한다. 로드(139)는 기판 지지부(130)의 스템(134)을 수용하는 애퍼처(aperture)(136)를 갖는 플레이트(135)에 커플링된다. 리프트 핀들(137)은 기판-지지 부재(132)에 연결된다. 액추에이터(138)는 플레이트(135)가 상방 또는 하방으로 이동되어 리프트 핀들(137)과 연결 및 연결해제되도록 로드(139)를 작동시킨다. 리프트 핀들(137)이 상승 또는 하강됨에 따라, 기판-지지 부재(132)가 챔버(100)의 내부 볼륨(115) 내에서 상승 또는 하강된다. 기판-지지 부재(132)는 내부 중앙에 매립된 저항성 가열 엘리먼트(131)를 갖는다. 전력 소스(133)는 저항성 가열 엘리먼트(131)에 전기적으로 전력을 공급하도록 구성된다. 전력 소스(133) 뿐만 아니라 액추에이터(138)의 동작은 제어기(180)에 의해 제어된다.
[0020] 단일-기판 프로세싱 챔버(100)는 바디(110) 상에 개구(111)를 가지며, 개구(111)를 통해, 하나 이상의 기판들(120)이 내부 볼륨(115)에 배치된 기판 지지부(130)로 로딩될 수 있고 그 기판 지지부(130)로부터 언로딩될 수 있다. 개구(111)는 바디(110) 상에 터널(121)을 형성한다. 슬릿 밸브(128)는, 슬릿 밸브(128)가 개방될 때에만 개구(111) 및 내부 볼륨(115)이 접근될 수 있도록, 터널(121)을 밀봉가능하게 폐쇄하도록 구성된다. 고압 밀봉부(127)는 프로세싱을 위해 내부 볼륨(115)을 밀봉하도록 바디(110)에 대해 슬릿 밸브(128)를 밀봉하는 데 활용된다. 고압 밀봉부(127)는 폴리머, 예컨대 플루오로폴리머, 이를테면 퍼플루오로엘라스토머 및 PTFE(polytetrafluoroethylene)(그러나 이에 제한되지는 않음)로 제조될 수 있다. 고압 밀봉부(127)는 밀봉 성능을 개선하도록 밀봉부를 바이어싱(bias)하기 위한 스프링 부재를 더 포함할 수 있다. 프로세싱 동안 고압 밀봉부들(127)의 최대 안전-동작 온도 미만으로 고압 밀봉부들(127)을 유지하기 위해, 냉각 채널(124)이 고압 밀봉부들(127)에 인접하게 터널(121) 상에 배치된다. 불활성, 유전체, 및 고-성능 열 전달 유체와 같은(그러나 이에 제한되지는 않음) 냉각 유체 소스(126)로부터의 냉각제가 냉각 채널(124) 내에서 순환될 수 있다. 냉각 유체 소스(126)로부터의 냉각제의 유동은 온도 센서(116) 또는 유동 센서(미도시)로부터 수신된 피드백을 통해 제어기(180)에 의해 제어된다. 슬릿 밸브(128)가 개방될 때, 개구(111)를 통한 내부 볼륨(115)으로부터의 열의 흐름을 방지하기 위해, 환상-형상 열 초크(129)가 터널(221) 주위에 형성된다.
[0021] 단일-기판 프로세싱 챔버(100)는 바디(110)를 통하는 포트(117)를 가지며, 포트(117)는 유체 회로(190)에 유동적으로 연결되고, 유체 회로(190)는 가스 패널(150), 응축기(160), 및 포트(117)를 연결한다. 유체 회로(190)는 가스 도관(192), 소스 도관(157), 유입구 격리 밸브(155), 배기 도관(163), 및 유출구 격리 밸브(165)를 갖는다. 다수의 가열기들(196, 158, 152, 154, 164, 166)이 유체 회로(190)의 상이한 부분들과 인터페이스된다. 다수의 온도 센서들(151, 153, 119, 167, 및 169)이 또한, 온도 측정들을 행하고 정보를 제어기(180)에 전송하기 위해, 유체 회로(190)의 상이한 부분들에 배치된다. 제어기(180)는, 유체 회로(190) 및 내부 볼륨(115)에 배치된 프로세싱 유체의 응축점을 초과하는 온도로 유체 회로(190)의 온도가 유지되도록, 가열기들(152, 154, 158, 196, 164, 및 166)의 동작을 제어하기 위해, 온도 측정 정보를 사용한다.
[0022] 가스 패널(150)은 내부 볼륨(115)의 압력 하에서 프로세싱 유체를 제공하도록 구성된다. 내부 볼륨(115) 내로 도입되는 프로세싱 유체의 압력은 바디(110)에 커플링된 압력 센서(114)에 의해 모니터링된다. 응축기(160)는 냉각 유체 소스(미도시)에 유동적으로 커플링되고, 그리고 가스 도관(192)을 통해 내부 볼륨(115)에서 빠져나오는 가스 상 프로세싱 유체를 응축시키도록 구성된다. 이어서, 응축된 프로세싱 유체는 펌프(176)에 의해 제거된다. 하나 이상의 가열기들(140)은 바디(110) 상에 배치되고, 그리고 단일-기판 프로세싱 챔버(100) 내의 내부 볼륨(115)을 가열하도록 구성된다. 가열기들(140, 152, 154, 158, 196, 164, 및 166)은, 유체 회로 내의 응축을 방지하기 위해, 응축기(160)로의 유출구 격리 밸브(165)가 개방되어 있는 동안, 유체 회로(190) 내의 프로세싱 유체를 가스 상으로 유지한다.
[0023] 제어기(180)는 단일-기판 프로세싱 챔버(100)의 동작을 제어한다. 제어기(180)는 가스 패널(150), 응축기(160), 펌프(170), 유입구 격리 밸브(155), 유출구 격리 밸브(165), 전력 소스들(133 및 145)의 동작을 제어한다. 제어기(180)는 또한, 온도 센서(116), 압력 센서(114), 액추에이터(138), 냉각 유체 소스(126), 및 온도 판독 디바이스들(156 및 162)에 통신가능하게 연결된다.
[0024] 프로세싱 유체는 산소-함유 및/또는 질소-함유 가스, 및/또는 칼코겐 또는 텔루륨(이를테면, S, Se, Te) 가스들 또는 증기들, 이를테면, 산소, 건조 스팀, 물, 과산화 수소, 암모니아, S 증기, Se, 증기, H2S, H2Se 등을 포함할 수 있다. 프로세싱 유체는, 금속 옥시나이트라이드들, 금속 산화물들, 금속 옥시칼코게나이드들, 또는 금속 칼코게나이드들을 형성하기 위해, 기판 상의 금속 재료들과 반응될 수 있다. 산소-함유 및/또는 질소-함유 가스들에 대안적으로 또는 부가하여, 프로세싱 유체는 실리콘-함유 가스를 포함할 수 있다. 실리콘-함유 가스의 예들은 유기실리콘, 테트라알킬 오르토실리케이트 가스들, 및 디실록산을 포함한다. 유기실리콘 가스들은 적어도 하나의 탄소-실리콘 결합을 갖는 유기 화합물들의 가스들을 포함한다. 테트라알킬 오르토실리케이트 가스들은 SiO4 4- 이온에 부착된 4개의 알킬 기들로 구성된 가스들을 포함한다. 더 구체적으로, 하나 이상의 가스들은 (디메틸실릴)(트리메틸실릴)메탄((Me)3SiCH2SiH(Me)2), 헥사메틸디실란((Me)3SiSi(Me)3), 트리메틸실란((Me)3SiH), 트리메틸실릴클로라이드((Me)3SiCl), 테트라메틸실란((Me)4Si), 테트라에톡시실란((EtO)4Si), 테트라메톡시실란((MeO)4Si), 테트라키스-(트리메틸실릴)실란((Me3Si)4Si), (디메틸아미노)디메틸-실란((Me2N)SiHMe2), 디메틸디에톡시실란((EtO)2Si(Me)2), 디메틸-디메톡시실란((MeO)2Si(Me)2), 메틸트리메톡시실란((MeO)3Si(Me)), 디메톡시테트라메틸-디실록산(((Me)2Si(OMe))2O), 트리스(디메틸아미노)실란((Me2N)3SiH), 비스(디메틸아미노)메틸실란((Me2N)2CH3SiH), 디실록산((SiH3)2O), 및 이들의 조합들일 수 있다.
[0025] 기판들(120)의 프로세싱 동안, 고압 구역(115)의 환경은 고압 구역 내의 프로세싱 유체를 증기 상으로 유지하는 압력 및 온도로 유지된다. 그러한 압력 및 온도는 프로세싱 유체의 조성에 기반하여 선택된다. 스팀의 경우, 온도 및 압력은 스팀을 건조 스팀 상태로 유지하는 조건으로 유지된다. 일 예에서, 고압 구역(115)은 대기압 초과, 예컨대 약 2 bar 초과의 압력까지 가압된다. 다른 예에서, 고압 구역(115)은 약 10 bar 내지 약 50 bar, 이를테면 약 20 bar 내지 약 50 bar의 압력까지 가압된다. 다른 예에서, 고압 구역(115)은 최대 약 100 bar의 압력까지 가압된다. 프로세싱 동안, 고압 구역(115)은 또한, 높은 온도, 예컨대, 섭씨 225도를 초과하는 온도(카세트(150) 상에 배치된 기판들(155)의 열 버짓(thermal budget)에 의해 제한됨), 이를테면 섭씨 약 300도 내지 섭씨 약 500도로 유지된다.
[0026] 도 2는 예시적인 프로세싱 시스템(200)의 개략적인 평면도이며, 예시적인 프로세싱 시스템(200)은 예시적인 프로세싱 시스템(200)에 포함 및 통합된, 도 1에 예시된 프로세싱 챔버(100)와 같은 프로세싱 챔버들 중 하나 이상을 포함한다. 일 실시예에서, 프로세싱 시스템(200)은, 캘리포니아, 산타클라라에 위치된 Applied Materials, Inc.로부터 상업적으로 입수가능한 Centura® 또는 Endura® 통합 프로세싱 시스템일 수 있다. 다른 프로세싱 시스템들(다른 제조자들로부터의 프로세싱 시스템들을 포함함)이 본 개시내용으로부터 이익을 얻도록 구성될 수 있다는 것이 고려된다.
[0027] 시스템(200)은 진공-밀폐 프로세싱 플랫폼(204), 팩토리 인터페이스(202), 및 시스템 제어기(244)를 포함한다. 플랫폼(204)은 도 1에 도시된 프로세싱 챔버(100) 중 하나와 같은 복수의 프로세싱 챔버들(100, 212, 232, 228, 220), 및 진공 기판 이송 챔버(236)에 커플링된 적어도 하나의 로드-락 챔버(222)를 포함한다. 2개의 로드 락 챔버들(222)이 도 2에 도시된다. 팩토리 인터페이스(202)는 로드 락 챔버들(222)에 의해 이송 챔버(236)에 커플링된다.
[0028] 일 실시예에서, 팩토리 인터페이스(202)는 기판들의 이송을 가능하게 하기 위한 적어도 하나의 팩토리 인터페이스 로봇(214), 및 적어도 하나의 도킹 스테이션(208)을 포함한다. 도킹 스테이션(208)은 하나 이상의 FOUP(front opening unified pod)를 수용하도록 구성된다. 2개의 FOUP들(206A 및 206B)이 도 2의 실시예에 도시된다. 팩토리 인터페이스 로봇(214)의 하나의 단부 상에 배치된 블레이드(216)를 갖는 팩토리 인터페이스 로봇(214)은 프로세싱을 위해 팩토리 인터페이스(202)로부터 프로세싱 플랫폼(204)으로 로드 락 챔버들(222)을 통해 기판을 이송하도록 구성된다. 선택적으로, FOUP들(206A 및 206B)로부터의 기판의 측정을 가능하게 하기 위해, 하나 이상의 계측 스테이션들(218)이 팩토리 인터페이스(202)의 터미널(226)에 연결될 수 있다.
[0029] 로드 락 챔버들(222) 각각은 팩토리 인터페이스(202)에 커플링된 제1 포트, 및 이송 챔버(236)에 커플링된 제2 포트를 갖는다. 로드 락 챔버들(222)은 압력 제어 시스템(미도시)에 커플링되며, 그 압력 제어 시스템은, 팩토리 인터페이스(202)의 실질적인 주변(예컨대, 대기) 환경과 이송 챔버(236)의 진공 환경 사이의 기판의 통과를 가능하게 하기 위해, 로드 락 챔버들(222)을 펌프 다운(pump down)시키고 벤팅(vent)한다.
[0030] 이송 챔버(236)는 이송 챔버(236)에 배치된 진공 로봇(230)을 갖는다. 진공 로봇(230)은 로드 락 챔버들(222), 계측 시스템(210), 및 프로세싱 챔버들(212, 232, 228, 220) 사이에서 기판들(224)을 이송할 수 있는 블레이드(234)를 갖는다.
[0031] 시스템(200)의 일 실시예에서, 시스템(200)은 하나 이상의 프로세싱 챔버들(100, 212, 232, 228, 220)을 포함할 수 있으며, 하나 이상의 프로세싱 챔버들(100, 212, 232, 228, 220)은 어닐링 챔버(예컨대, 고압 어닐링 챔버, RTP 챔버, 레이저 어닐링 챔버), 증착 챔버, 에칭 챔버, 세정 챔버, 경화 챔버, 또는 다른 유사한 타입의 반도체 프로세싱 챔버들일 수 있다. 시스템(200)의 일부 실시예들에서, 시스템(200)은 프로세싱 챔버들(100, 212, 232, 228, 220) 중 하나 이상, 이송 챔버(236), 팩토리 인터페이스(202), 및/또는 로드 락 챔버들(222) 중 적어도 하나를 포함할 수 있다.
[0032] 시스템 제어기(244)는 프로세싱 시스템(200)에 커플링된다. 컴퓨팅 디바이스(201)를 포함할 수 있거나 또는 컴퓨팅 디바이스(201) 내에 포함될 수 있는 시스템 제어기(244)는 시스템(200)의 프로세스 챔버들(100, 212, 232, 228, 220)의 직접적인 제어를 사용하여 프로세싱 시스템(200)의 동작을 제어한다. 대안적으로, 시스템 제어기(244)는 시스템(200) 및 프로세스 챔버들(100, 212, 232, 228)과 연관된 컴퓨터들(또는 제어기들)을 제어할 수 있다. 동작 시, 시스템 제어기(244)는 또한, 시스템(200)의 성능을 최적화하기 위해, 각각의 챔버들로부터의 데이터 수집 및 피드백을 가능하게 한다.
[0033] 위에서 설명된 컴퓨팅 디바이스(201)와 매우 유사하게, 시스템 제어기(244)는 일반적으로, CPU(central processing unit)(238), 메모리(240), 및 지원 회로(242)를 포함한다. CPU(238)는 산업 현장에서 사용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서 중 하나일 수 있다. 지원 회로들(242)은 CPU(238)에 통상적으로 커플링되고, 그리고 캐시, 클록 회로들, 입력/출력 서브시스템들, 전력 공급부들 등을 포함할 수 있다. 소프트웨어 루틴들은 CPU(238)를 특수 목적 컴퓨터(제어기)(244)로 변환시킨다. 소프트웨어 루틴들은 또한, 시스템(200)으로부터 원격으로 위치된 제2 제어기(미도시)에 의해 저장 및/또는 실행될 수 있다.
[0034] 도 3은 도 2에 도시된 시스템(200) 내에 포함될 수 있는 고압 어닐링 챔버, 이를테면 도 1에 도시된 고압 어닐링 챔버(100)에서 열 어닐링 프로세스를 거칠 수 있는 금속 함유 층을 포함하는 TFT 디바이스(350)의 예를 도시한다. 박막 트랜지스터 디바이스 구조(350)는 기판(301) 상에 배치된 최하부 게이트(bottom gate) TFT 구조이다. 기판(301)은, 기판(301) 상에 상이한 디바이스 구조들 또는 상이한 막 스택을 형성하는 것을 가능하게 하기 위해, 기판(301) 상에 이전에 형성된 막들, 구조들, 또는 층들의 상이한 조합을 가질 수 있다는 것을 유의한다. 일 예에서, 도 3에 도시된 바와 같이, 기판(301)은 기판(301) 상에 형성된 디바이스 구조(350)를 가질 수 있다. 대안적으로, 도 4에 추가로 도시된 바와 같이, 기판(301)은 기판(301) 상에 배치된 다른 디바이스 구조(450)를 가질 수 있으며, 이는 아래에서 추가로 설명될 것이다. 기판(301)은, 유리 기판, 플라스틱 기판, 폴리머 기판, 금속 기판, 단일 기판, 롤-투-롤 기판, 또는 박막 트랜지스터를 상부에 형성하는 데 적합한 다른 적합한 투명 기판 중 임의의 하나일 수 있다.
[0035] 게이트 전극 층(302)이 게이트 절연체 층(304) 전에 기판(301) 상에 형성 및 패터닝된다. 일 실시예에서, 게이트 전극 층(302)은 임의의 적합한 금속성 재료, 이를테면, 알루미늄(Al), 텅스텐(W), 크롬(Cr), 탄탈럼(Ta), 몰리브덴(Mo), 구리(Cu), 또는 이들의 조합으로 제작될 수 있다. 게이트 절연체 층(304)을 위한 적합한 재료들은 실리콘 산화물(SiO2), 실리콘 옥시나이트라이드(SiON), 실리콘 질화물(SiN) 등을 포함한다. 도 3에 도시된 박막 트랜지스터 디바이스 구조(350)는 디바이스 구조(350)의 최하부 상에 형성된 게이트 전극 층(302)을 갖는 최하부 게이트 디바이스 구조라는 것을 유의한다.
[0036] 게이트 절연체 층(304) 상에 활성 층(306)이 형성된다. 활성 층(306)을 위해 활용되는 재료는, 가요성 기판 재료들, 이를테면 플라스틱 재료들이 기판 손상 없이 낮은 온도로 프로세싱될 수 있게 하는 저온 제조에 적합한, 높은 전자 이동도를 갖는 투명 금속성 산화물 재료로부터 선택될 수 있다. 활성 층(306)을 위해 활용될 수 있는 재료들의 적합한 예들은 특히, a-IGZO(amorphous indium gallium zinc oxide), InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN, 또는 InGaAlN을 포함한다.
[0037] 활성 층(306)의 형성 후에, 활성 층(306) 상에 배리어 층(308)이 형성될 수 있다. 배리어 층(308)은 배리어 층(308) 상에 후속하여 형성되는 (예컨대, 소스-드레인 전극을 위한) 금속 전극 층(310)에 양호한 인터페이스 접착 뿐만 아니라 양호한 배리어 특성들(예컨대, 확산 배리어)을 제공하기 위해 금속 함유 재료로 형성될 수 있다. 배리어 층(308)은 후속 에칭 프로세스들에서 기판(301) 상에 배치된 막 층들 상의 피처(feature)들의 전사를 가능하게 하도록, 활성 층(306) 상에 원하는 패턴을 형성하기 위해 패터닝될 수 있다. 도 3에 도시된 바와 같은 배리어 층(308)이 원하는 패턴으로 패터닝되지만, 활성 층(306)으로부터의 원소들이 금속 전극 층(310) 내로 확산되거나 또는 그 반대로 확산되는 것을 방지하기 위한 차단/확산 배리어 특성을 배리어 층(308)이 효율적으로 제공할 수 있는 한, 배리어 층(308)은 필요에 따라 디바이스 구조(350) 내의 전체적으로 연속적인 블랭크 막 또는 임의의 상이한 피처들을 포함하는 임의의 형태로 이루어질 수 있다는 것을 유의한다. 일 실시예에서, 도 3에 도시된 바와 같이, 배리어 층(308)은 금속 유전체 층, 이를테면 Ta2O5 또는 TiO2, 또는 필요에 따른 임의의 적합한 금속 유전체 층에 의해 제작된 금속 함유 유전체 층의 단일 층일 수 있다. 다른 실시예에서, 배리어 층(308)은 필요에 따라 복합 막의 형태일 수 있다.
[0038] 금속 전극 층(310), 이를테면 소스-드레인 금속 전극 층이 배리어 층(308) 위에 배치된 후에, 후속하여, 금속 전극 층(310)에 채널(320)을 형성하기 위한 에칭 프로세스가 수행된다. 에칭 후에, 이어서, 절연 재료 층(314), 이를테면 패시베이션 층이 금속 전극 층(310) 위에 형성되어, 박막 트랜지스터 디바이스 구조(350)를 형성하는 프로세스가 완료된다.
[0039] 일 실시예에서, 금속 전극 층(310)으로서 사용될 수 있는 재료들의 예들은 구리(Cu), 금, 은(Ag), 알루미늄(Al), 텅스텐(W), 몰리브덴(Mo), 크롬(Cr), 탄탈럼(Ta), 이들의 합금들, 및 이들의 조합을 포함한다. 절연 재료 층(314)으로서 사용될 수 있는 적합한 재료들은 실리콘 산화물(SiO2), 실리콘 옥시나이트라이드(SiON), 또는 실리콘 질화물(SiN) 등을 포함한다.
[0040] 도 4는 도 3에 도시된 최하부 게이트 디바이스 구조(350) 대신에, 기판(301) 상에 형성될 수 있는 최상부 게이트 LTPS(low temperature polysilicon) TFT 디바이스 구조(450)의 예를 도시한다. LTPS TFT 디바이스들(450)은, 선택적인 절연 층(404)이 상부에 배치되거나 또는 배치되지 않은 광학적으로 투명한 기판(301) 상에 형성된, 소스 구역(409a), 채널 구역(408), 및 드레인 구역(409b)(예컨대, 또는, 금속 콘택 구역들 또는 소스-드레인 금속 콘택들로 지칭됨)을 포함하는 활성 층들(452)로 형성된 MOS 디바이스들이다. 일 예에서, 소스 구역(409a), 채널 구역(408), 및 드레인 구역(409b)을 포함하는 활성 층은, 가요성 기판 재료들, 이를테면 플라스틱 재료들이 기판 손상 없이 낮은 온도로 프로세싱될 수 있게 하는 저온 제조에 적합한, 높은 전자 이동도를 갖는 금속성 산화물 재료와 같은 투명 금속 함유 층으로 제작될 수 있다. 소스 구역(409a), 채널 구역(408), 및 드레인 구역(409b)을 위해 활용될 수 있는 그러한 재료들의 적합한 예들은 특히, a-IGZO(amorphous indium gallium zinc oxide), 도핑된 IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN, 또는 InGaAlN을 포함한다.
[0041] 이어서, 배리어 층(411), 및 배리어 층(411) 상에 배치된 금속 전극 층(414), 이를테면 게이트 전극을 채널 구역(408), 소스 구역(409a), 및 드레인 구역(409b)으로부터 격리시키기 위해, 증착된 폴리실리콘 층(들)의 최상부 상에 게이트 절연 층(406)이 증착된다. 배리어 층(411)은 배리어 층(411) 상에 후속하여 형성되는 금속 전극 층(414)(예컨대, 게이트 전극)에 양호한 인터페이스 접착 뿐만 아니라 양호한 배리어 특성들(예컨대, 확산 배리어)을 제공하기 위해 금속 함유 재료로 형성될 수 있다. 배리어 층(411)은 후속 에칭 프로세스들에서 기판(301) 상에 배치된 막 층들 상의 피처들의 전사를 가능하게 하도록, 게이트 절연 층(406) 상에 원하는 패턴을 형성하기 위해 패터닝될 수 있다. 배리어 층(411)은 게이트 절연 층(406)으로부터의 원소들이 금속 전극 층(414) 내로 확산되거나 또는 그 반대로 확산되는 것을 방지하기 위한 차단/확산 배리어 특성을 효율적으로 제공할 수 있다. 일 실시예에서, 도 4에 도시된 바와 같이, 배리어 층(411)은 금속 유전체 층, 이를테면 Ta2O5 또는 TiO2, 또는 필요에 따른 임의의 적합한 금속 유전체 층에 의해 제작된 금속 함유 유전체 층의 단일 층일 수 있다. 다른 실시예에서, 배리어 층(411)은 필요에 따라 복합 막의 형태일 수 있다.
[0042] 게이트 전극 층(414)은 게이트 절연 층(406)의 최상부 상에 형성되고, 게이트 전극 층(414)과 게이트 절연 층(406) 사이에 배리어 층(411)이 개재된다. 또한, 게이트 절연 층(406)은 일반적으로 게이트 산화물 층으로 알려져 있는데, 이는 게이트 절연 층(406)이 일반적으로 실리콘 이산화물(SiO2) 층으로 제조되기 때문이다. 절연 재료 층(412), 이를테면 층간 절연체가 형성되고, 이어서, TFT 디바이스들의 제어를 가능하게 하기 위해, 절연 재료 층(412)을 통해 디바이스 연결들(미도시)이 만들어진다.
[0043] 절연 재료 층(412)이 형성된 후에, 이어서, 소스-드레인 금속 전극 층(410a, 410b)이 절연 재료 층(412)에 증착, 형성, 및 패터닝된다. 소스-드레인 금속 전극 층(410a, 410b)이 패터닝된 후에, 이어서, 패시베이션 층(418)이 소스-드레인 금속 전극 층(410a, 410b) 위에 형성된다.
[0044] 도 5는 금속 함유 층, 이를테면, 도 3 및 도 4의 활성 층들(306, 452) 또는 도 3 및 도 4의 금속 전극들(302, 310, 410a, 410b, 414) 각각 상에 수행되는 열 어닐링 프로세스(500)의 일 예의 흐름도를 도시한다.
[0045] 방법(500)은, 동작(502)에서, 기판, 이를테면 도 3 및 도 4의 기판(301)을 제공하는 것에 의해 시작된다. 기판(301)은 광학 투명 기판일 수 있다. 도 6a에 도시된 바와 같이, 기판(301)은 기판(301) 상에 배치된 재료 층(601)을 포함할 수 있다. 재료 층(601)은 TFT 디바이스 구조를 형성하는 데 활용될 수 있는 단일 층 또는 다수의 층들일 수 있다. 대안적으로, 재료 층(601)은 TFT 디바이스 구조를 형성하는 데 활용될 수 있는 다수의 재료들을 포함할 수 있는 구조일 수 있다.
[0046] 도 6b에 도시된 바와 같이, 기판(301)은 재료 층(601) 상에 형성된 금속 함유 층(602)을 더 포함한다. 재료 층(601)이 존재하지 않는 예들에서, 금속 함유 층(602)은 기판(301) 상에 직접적으로 형성될 수 있다. 일부 예들에서, 금속 함유 층(602)은 도 3 또는 도 4의 활성 층들(306, 452), 또는 도 3 및 도 4의 금속 전극들(302, 310, 410a, 410b, 414)로서 사용될 수 있다. 예에서, 금속 함유 층(602)은, a-IGZO(amorphous indium gallium zinc oxide), 도핑된 IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN, 또는 InGaAlN 등으로 구성된 그룹으로부터 선택되는 금속 산화물 층이다. 일 예에서, 금속 함유 층(602)은 IGZO 또는 도핑된 IGZO 층이다. 대안적으로, 금속 함유 층(602)은, 구리(Cu), 금, 은(Ag), 알루미늄(Al), 텅스텐(W), 몰리브덴(Mo), 크롬(Cr), 탄탈럼(Ta), 이들의 합금들 등과 같은 금속 층일 수 있다.
[0047] 동작(504)에서, 도 6c에 도시된 바와 같이, 금속 함유 층(602) 내에 이온들을 주입하여, 도핑된 금속 함유 층(610)을 형성하기 위해, 선택적인 이온 도핑/주입 프로세스가 수행된다. 이온 주입 프로세스가 제거된 예들에서, 동작(508)에서의 열 어닐링 프로세스가 금속 함유 층(602) 상에 직접적으로 수행될 수 있으며, 동작(508)에서의 열 어닐링 프로세스는 아래에서 더 상세히 설명될 것이다. 금속 함유 층(602) 내에 형성되는 도펀트들로 금속 함유 층(602)의 특정 위치들 상의 특정 막/표면 특성들을 도핑, 코팅, 처리, 주입, 삽입, 또는 수정(modify)하여, 도핑된 금속 함유 층(610)을 형성하기 위해, 이온 도핑/주입 프로세스가 수행된다. 이온 도핑/주입 프로세스는 입사 이온들을 활용하여, 금속 함유 층(602)에 도핑되는 도펀트들로 금속 함유 층(602) 상의 막/표면 특성들을 수정함으로써, 도핑된 금속 함유 층(610)을 형성한다. 이온 도핑/주입 프로세스는 임의의 적합한 이온 주입/도핑 프로세싱 툴들에서 수행될 수 있다. 원하는 타입의 원자들을 포함하는 이온들이 원하는 농도로 금속 함유 층(602) 내에 도핑될 수 있다. 금속 함유 층(602) 내에 도핑된 이온들은 금속 함유 층(602)의 막/표면 특성들을 수정하여, 도핑된 금속 함유 층(610)을 형성할 수 있으며, 그러한 수정은 금속 함유 층(602)의 격자 구조, 결정도, 결합 구조, 또는 막 밀도에 영향을 미치거나, 이들을 개선하거나, 또는 이들을 변경할 수 있다.
[0048] 금속 함유 층(602)이 InGaZnO를 포함하는 실시예에서, 금속 함유 층(602) 내에 도핑된 이온들은 인듐(In) 또는 몰리브덴(Mo), 갈륨(Ga), 아연(Zn) 등을 포함할 수 있다. 금속 함유 층(602)(예컨대, InGaZnO) 내에 도핑된 In 또는 Mo 도펀트들은 InGaZnO 재료의 전기적 특성들, 이를테면 높은 이동도, (예컨대, 비정질 구조, CAAC(C-axis aligned crystalline) 구조, 다결정질 구조, 또는 심지어 단일 결정질 구조로부터의) 결정화도를 변경하여, 원하는 막 특성들을 갖는 도핑된 금속 함유 층(610)을 제공할 수 있는 것으로 여겨진다. 예컨대, InGaZnO 재료 내의 In 또는 Mo 도펀트들에 의해 제공되는 더 높은 정도의 결정화도는 막 특성들의 전자 이동도를 증가시켜서, TFT 디바이스 구조 또는 반도체 디바이스들에 도핑된 금속 함유 층(610)이 활용될 때, TFT 디바이스 구조 또는 반도체 디바이스들의 전기적 성능을 향상시키는 것으로 여겨진다.
[0049] 게다가, InGaZnO 재료에 포함된 갈륨(Ga) 및 아연 산화물(ZnO) 비율이 또한, 도핑된 금속 함유 층(610)의 결과적인 격자 구조에 영향을 미칠 수 있는 것으로 또한 여겨진다. InGaZnO 재료에 포함된 Ga 원소들의 비율이 막 투명도 뿐만 아니라 전체 막 밴드갭(bandgap)을 증가시킬 수 있는 것으로 여겨진다. InGaZnO 재료에 포함된 Zn 또는 ZnO 원소들의 비율은 결정화도 향상을 위한 열 어닐링 온도 요건들을 감소시킬 수 있을 뿐만 아니라 이동도를 증가시킬 수 있다. 따라서, InGaZnO 재료 내로의 In 도펀트들의 적절한 주입량(dosage)을 선택함으로써, InGaZnO 재료의 원하는 결정질이 획득될 수 있다. 게다가, InGaZnO 재료의 원하는 결정질은 또한, 동작(508)에서의 후속 열 어닐링 프로세스에서 온도 요건을 감소시키는 것을 도울 수 있고, 그에 따라, 기판(301)이 대개, 비교적 낮은 열 사이클 버짓들을 갖는 광학 투명 재료이기 때문에, TFT 디바이스 애플리케이션들에 프로세스 이점들을 제공할 수 있다.
[0050] 일 실시예에서, InGaZnO 재료 내의 결과적인 In 도펀트는 도핑된 금속 함유 층(610)에 형성된 약 5E15 이온/cm2 내지 약 9E15 이온/cm2, 이를테면 약 8.5E15 이온/cm2의 도핑 농도를 가질 수 있다. 게다가, InGaZnO 재료 내의 In 또는 Mo 원소의 비율은 원자량 기준으로 약 10% 내지 13%에서 약 14% 내지 16%로 증가될 수 있다(약 15% 내지 약 30% 증가).
[0051] 이온 도핑/주입 프로세스 동안 여러 프로세스 파라미터들이 제어될 수 있다. 이온 도핑/주입 프로세스는, 이온 도핑 가스 혼합물로부터의 이온들을 기판(301) 내에 도핑하기 위한 원하는 양의 전력 에너지와 함께, 이온 도핑 가스 혼합물을 이온 도핑/주입 툴 내에 공급함으로써 수행될 수 있다. 이온 도핑 가스 혼합물은 약 10 sccm 내지 약 1000 sccm의 유량으로 이온 도핑/주입 툴 내에 공급될 수 있다. 주입 동안 증기 상태로 사용되는, 이온 도핑에 공급하기 위한 적합한 원소들은 인듐 증기 및 몰리브덴 증기를 포함한다. 프로세싱 동안 이온 도핑 가스 혼합물을 해리시키는 것을 보조하기 위해, RF 전력, 이를테면 용량성 또는 유도성 RF 전력, DC 전력, 전자기 에너지, 이온 빔, 또는 마그네트론 스퍼터링이 이온 도핑/주입 프로세스 내에 공급될 수 있다. 해리 에너지에 의해 생성된 이온들은, 기판 지지부, 또는 기판 지지부 위의 가스 유입구, 또는 둘 모두에 DC 또는 RF 전기 바이어스를 인가함으로써 생성되는 전기장을 사용하여, 기판 쪽으로 가속될 수 있다. 일부 실시예들에서, 에너제틱 이온들을 함유하는 가스는 플라즈마일 수 있다. 약 20 keV 내지 약 80 keV, 이를테면 약 35 keV 내지 약 55 keV, 예컨대 약 45 keV의 에너지가 금속 함유 층(602) 내에 이온들을 주입하기 위해 사용될 수 있다. 기판 온도는 섭씨 약 5도 내지 섭씨 약 50도, 이를테면 섭씨 약 15도로 제어될 수 있다.
[0052] 동작(508)에서, 고압 어닐링 프로세스가 수행된다. 높은 프로세스 압력, 이를테면 2 bar 초과 몇 bar 미만으로 수행되는 어닐링 프로세스는 도핑된 금속 함유 층(610) 내의 베이컨시(vacancy)들을 고밀화 및 복구하는 것을 보조하여, 도 6d에 도시된 바와 같은, 원하는 막 특성들을 갖는 어닐링된 금속 함유 층(603)을 형성할 수 있다. 일부 예들에서, 높은 프로세스 압력은 100 bar까지 올라갈 수 있다. 동작(504)에서의 이온 도핑/주입 프로세스가 수행되지 않는 실시예에서, 도 6d에 도시된 바와 같은 어닐링된 금속 함유 층(603)을 형성하기 위해, 고압 어닐링 프로세스는 도 6b로부터의 금속 함유 층(602) 상에 직접적으로 수행될 수 있다. 어닐링 프로세스는 프로세싱 챔버, 이를테면, 도 1에 도시된 프로세싱 챔버(100), 또는 기판을 한 번에 하나씩 프로세싱하는 프로세싱 챔버들을 포함하는 다른 적합한 프로세싱 챔버들에서 수행될 수 있다.
[0053] 동작(508)에서 수행되는 고압 어닐링 프로세스는, 증기 상, 예컨대, 실질적으로 액적(liquid droplet)들이 존재하지 않는 건조 증기 상으로 고압 구역에서 프로세싱 압력을 유지한다. 프로세싱 압력 및 온도는 막 구조들을 고밀화하여 막 결함들을 복구함으로써 불순물들을 축출(drive out)하고 막 밀도를 증가시키도록 제어된다. 일 예에서, 고압 구역(115)은 대기압 초과, 예컨대 약 2 bar 초과의 압력까지 가압된다. 다른 예에서, 고압 구역(115)은 약 5 bar 내지 약 100 bar, 이를테면 약 5 bar 내지 약 50 bar, 이를테면 약 35 bar의 압력까지 가압된다. 높은 압력이 막 구조를 고밀화하는 것을 효율적으로 보조할 수 있기 때문에, 섭씨 500도 미만과 같은 비교적 낮은 프로세싱 온도가 기판(301)에 대한 열 사이클 손상의 가능성을 감소시킨다.
[0054] 프로세싱 동안, 고압 구역(115)은, 외측 챔버(110) 내에 배치된 가열기들(140)에 의해, 비교적 낮은 온도, 예컨대, 섭씨 500도 미만, 이를테면 섭씨 약 150도 내지 섭씨 약 350도의 온도로 유지된다. 따라서, 저온 체제와 함께 고압 어닐링 프로세스를 활용함으로써, 기판에 대한 낮은 열 버짓이 획득될 수 있다.
[0055] 고압 프로세스는 금속 함유 층(602) 또는 도핑된 금속 함유 층(610)에서 댕글링 결합들을 축출하기 위한 축출력(driving force)을 제공함으로써, 어닐링 프로세스 동안 금속 함유 층(602) 내의 댕글링 결합들을 복구, 반응, 및 포화시킬 수 있는 것으로 여겨진다. 일 예에서, 산소 함유 가스, 이를테면, O3 가스, O2 가스, 공기, H2O, H2O2, N2O, NO2, CO2, CO 및 건조 스팀, 또는 황(S) 증기 및 셀레늄(Se) 증기 또는 텔루륨 증기를 포함하는 칼코겐 증기, 또는 다른 적합한 가스들이 어닐링 프로세스 동안 공급될 수 있다. 하나의 특정 예에서, 산소 함유 가스는 스팀, 예컨대 건조 스팀 및/또는 공기를 포함한다. 어닐링 프로세스 동안, 산소 함유 가스로부터의 산소 원소들은 금속 함유 층(602) 내에 주입되어, 금속 함유 층(602)에서 결합 구조들을 변경하고 원자 베이컨시들을 제거하여, 격자 구조들을 고밀화 및 향상시키고, 금속 함유 층(602)의 결정화도를 증가시킬 수 있다. 일부 예들에서, 불활성 가스 또는 캐리어 가스, 이를테면 Ar, N2, He, Kr 등이 산소 함유 가스와 함께 공급될 수 있다. 일 실시예에서, 산소 함유 가스 혼합물에 공급되는 산소 함유 가스는 2 bar 초과의 압력으로 공급되는 건조 스팀이다.
[0056] 하나의 예시적인 구현에서, 프로세스 압력은 2 bar 초과, 이를테면 5 bar 내지 100 bar, 이를테면 20 bar 내지 약 80 bar, 예컨대 약 25 bar 내지 75 bar, 이를테면 약 35 bar의 압력으로 조절된다. 프로세스 온도는 섭씨 150도 초과 섭씨 500도 미만, 이를테면 섭씨 약 150도 내지 섭씨 약 380도, 이를테면 섭씨 약 180도 내지 섭씨 약 400도로 제어될 수 있다. 일 예에서, 황(S) 또는 셀레늄(Se)을 포함하는 InGaZnO와 같은 금속 옥시칼코겐을 위해, 어닐링 프로세스 동안, 칼코겐 증기, 이를테면 황(S) 증기, 셀레늄(Se) 증기가 공급될 수 있다.
[0057] 높은 압력에서의 어닐링 프로세스 후에, 금속 함유 층(602) 또는 도핑된 금속 함유 층(610)은, 대략 1기압의 압력에서의 종래의 어닐링 프로세스에 의해 어닐링된 금속 함유 층(602) 또는 도핑된 금속 함유 층(610)과 비교하여, 어닐링 프로세스 둘 모두가 동일한 어닐링 온도로 이루어지는 동안, 더 높은 막 밀도, 높은 막 이동도, 낮은 캐리어 농도, 및 낮은 막 저항성을 제공하는, 비정질 모폴로지(morphology)를 갖는 비교적 견고한 막 구조를 제공하는 고밀화된 막 구조를 갖는다. 일 예에서, (도핑된 금속 함유 층(610)으로부터 형성된) 인듐 도펀트들을 갖는 고압 어닐링된 금속 함유 층(603)의 이동도는, 1기압에서의 종래의 어닐링 프로세스와 비교하여, 동일한 어닐링 온도 하에 있는 동안, 약 5배 내지 약 20배 증가되고, 저항성은 약 10배 증가되고, 캐리어 농도는 약 100배 감소된다.
[0058] 일 예에서, (금속 함유 층(602)으로부터 형성된) 인듐 도펀트들을 갖지 않는 고압 어닐링된 금속 함유 층(603)의 이동도는, 1기압에서의 종래의 어닐링 프로세스와 비교하여, 동일한 어닐링 온도 하에 있는 동안, 약 1.5배 내지 약 5배 증가되고, 저항성은 약 20퍼센트에서 약 99퍼센트로 증가되고, 캐리어 농도는 약 100배 감소된다.
[0059] 따라서, 금속 함유 층을 열 어닐링하기 위한 방법들이 제공된다. 금속 함유 층은 높은 프로세스 압력, 이를테면 2 bar 초과 50 bar 미만으로 고압 어닐링 프로세스에 의해 열 처리/어닐링될 수 있다. 그러한 고압 어닐링 프로세스를 활용함으로써, 프로세스 온도가 섭씨 500도 미만으로 유지되어, 금속 함유 층이 상부에 형성된 기판에 기여되는 열 버짓을 감소시킴으로써, 구조 통합 관리 및 원하는 결정화도를 갖는 양호한 막 품질을 제공할 수 있다.
[0060] 전술한 바가 본 발명의 실시예들에 관한 것이지만, 본 발명의 다른 및 추가적인 실시예들이 본 발명의 기본적인 범위로부터 벗어나지 않으면서 고안될 수 있고, 본 발명의 범위는 다음의 청구항들에 의해 결정된다.

Claims (20)

  1. 프로세싱 챔버로서,
    상기 프로세싱 챔버의 내부 볼륨 내에 배치되고 프로세싱 동안 광학적으로 투명한 기판을 지지하도록 구성된 기판 지지부 ― 상기 광학적으로 투명한 기판상에 금속 함유 층이 배치됨 ―;
    상기 프로세싱 챔버의 상기 내부 볼륨으로 산소 함유 가스 혼합물을 공급하도록 구성된 가스 패널; 및
    상기 산소 함유 가스 혼합물의 존재 하에서 상기 금속 함유 층을 열 어닐링하면서 2 bar 내지 50 bar의 프로세스 압력으로 상기 내부 볼륨에서 상기 산소 함유 가스 혼합물을 유지하기 위해 상기 가스 패널을 제어하도록 구성된 제어기
    를 포함하는, 프로세싱 챔버.
  2. 제1 항에 있어서,
    상기 제어기는 상기 산소 함유 가스 혼합물이 상기 내부 볼륨으로 공급되는 동안 섭씨 400도 미만으로 기판 온도를 유지하도록 추가로 구성되는,
    프로세싱 챔버.
  3. 제1 항에 있어서,
    상기 산소 함유 가스 혼합물은, 적어도, O3 가스, O2 가스, H2O, H2O2, N2O, NO2, CO2, CO, 공기, 및 건조 스팀(dry steam)으로 구성된 그룹으로부터 선택되는 산소 함유 가스를 포함하는,
    프로세싱 챔버.
  4. 제1 항에 있어서,
    상기 산소 함유 가스 혼합물은 건조 스팀 또는 공기를 포함하는,
    프로세싱 챔버.
  5. 제1 항에 있어서,
    상기 제어기는 약 5 bar 내지 100 bar의 프로세스 압력을 유지하도록 구성되는,
    프로세싱 챔버.
  6. 제1 항에 있어서,
    상기 금속 함유 층은 금속 산화물 층인,
    프로세싱 챔버.
  7. 제6 항에 있어서,
    상기 금속 산화물 층은, a-IGZO(amorphous indium gallium zinc oxide), 도핑된 IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN, 및 InGaAlN으로 구성된 그룹으로부터 선택되는,
    프로세싱 챔버.
  8. 제1 항에 있어서,
    상기 가스 패널이 상기 내부 볼륨으로 상기 산소 함유 가스 혼합물을 공급하기 전에, 도펀트들이 상기 금속 함유 층에 주입되는,
    프로세싱 챔버.
  9. 제8 항에 있어서,
    상기 금속 함유 층에 주입되는 상기 도펀트들은 인듐 또는 몰리브덴을 포함하는,
    프로세싱 챔버.
  10. 제9 항에 있어서,
    상기 금속 함유 층에 주입되는 상기 도펀트들은 상기 금속 함유 층의 결정화도를 증가시키는,
    프로세싱 챔버.
  11. 제1 항에 있어서,
    상기 금속 함유 층은 TFT(thin film transistor) 디바이스 구조 내의 활성 층인,
    프로세싱 챔버.
  12. 제1 항에 있어서,
    상기 금속 함유 층은 InGaZnON인,
    프로세싱 챔버.
  13. 제1 항에 있어서,
    상기 금속 함유 층은 TFT 디바이스 구조 내의 전극인,
    프로세싱 챔버.
  14. 제1 항에 있어서,
    상기 금속 함유 층은 상기 금속 함유 층을 열 어닐링한 후에 더 높은 이동도를 갖는,
    프로세싱 챔버.
  15. 제1 항에 있어서,
    상기 금속 함유 층은 상기 금속 함유 층을 열 어닐링한 후에 더 높은 막 밀도를 갖는,
    프로세싱 챔버.
  16. 프로세싱 챔버로서,
    상기 프로세싱 챔버의 내부 볼륨 내에 배치되고 프로세싱 동안 광학적으로 투명한 기판을 지지하도록 구성된 기판 지지부 ― 상기 광학적으로 투명한 기판상에 금속 함유 층이 배치되고, 상기 금속 함유 층은, a-IGZO(amorphous indium gallium zinc oxide), 도핑된 IGZO, InGaZnON, ZnO, ZnON, ZnSnO, CdSnO, GaSnO, TiSnO, CuBO2, CuAlO2, CuGaO2, SrCuO, LaCuOS, GaN, InGaN, AlGaN, 및 InGaAlN으로 구성된 그룹으로부터 선택됨 ―;
    상기 프로세싱 챔버의 상기 내부 볼륨으로 프로세싱 가스를 공급하도록 구성된 가스 패널; 및
    2 bar 내지 50 bar의 프로세스 압력을 유지하도록 상기 가스 패널을 제어함으로써 상기 금속 함유 층을 열 처리하고; 그리고
    상기 금속 함유 층을 열 처리하면서 상기 광학적으로 투명한 기판의 기판 온도를 섭씨 500도 미만으로 유지하도록
    구성된 제어기
    를 포함하는, 프로세싱 챔버.
  17. 제16 항에 있어서,
    상기 가스 패널은 상기 금속 함유 층을 열 처리하는 동안 상기 프로세싱 가스를 공급하고, 상기 프로세싱 가스는 건식 스팀, 공기, 칼코겐 증기, 또는 텔루륨 증기인,
    프로세싱 챔버.
  18. 제16 항에 있어서,
    상기 가스 패널이 상기 내부 볼륨으로 상기 프로세싱 가스를 공급하기 전에, 도펀트들이 상기 금속 함유 층에 주입되는,
    프로세싱 챔버.
  19. 제16 항에 있어서,
    상기 금속 함유 층은 상기 금속 함유 층을 열 처리한 후에 더 높은 이동도를 갖는,
    프로세싱 챔버.
  20. 프로세싱 시스템으로서,
    기판 상에 금속 함유 층을 형성하도록 구성된 제1 프로세싱 챔버;
    상기 금속 함유 층에 도펀트들을 주입하도록 구성된 프로세싱 툴; 및
    기판 온도를 섭씨 500도 미만으로 유지하면서 2 bar 내지 50 bar의 압력에서 상기 기판상에 상기 금속 함유 층을 열 처리하도록 구성된 제2 프로세싱 챔버
    를 포함하는, 프로세싱 시스템.
KR1020237017300A 2018-03-09 2019-01-28 금속 함유 재료들을 위한 고압 어닐링 프로세스 KR20230079236A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862641110P 2018-03-09 2018-03-09
US62/641,110 2018-03-09
KR1020207027850A KR102536820B1 (ko) 2018-03-09 2019-01-28 금속 함유 재료들을 위한 고압 어닐링 프로세스
PCT/US2019/015339 WO2019173006A1 (en) 2018-03-09 2019-01-28 High pressure annealing process for metal containing materials

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
KR1020207027850A Division KR102536820B1 (ko) 2018-03-09 2019-01-28 금속 함유 재료들을 위한 고압 어닐링 프로세스

Publications (1)

Publication Number Publication Date
KR20230079236A true KR20230079236A (ko) 2023-06-05

Family

ID=67842028

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020237017300A KR20230079236A (ko) 2018-03-09 2019-01-28 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR1020207027850A KR102536820B1 (ko) 2018-03-09 2019-01-28 금속 함유 재료들을 위한 고압 어닐링 프로세스

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020207027850A KR102536820B1 (ko) 2018-03-09 2019-01-28 금속 함유 재료들을 위한 고압 어닐링 프로세스

Country Status (8)

Country Link
US (2) US10998200B2 (ko)
EP (1) EP3762962A4 (ko)
JP (2) JP7239598B2 (ko)
KR (2) KR20230079236A (ko)
CN (1) CN111902929A (ko)
SG (1) SG11202008256WA (ko)
TW (1) TWI707969B (ko)
WO (1) WO2019173006A1 (ko)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) * 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
EP4352780A1 (en) * 2021-06-09 2024-04-17 Watlow Electric Manufacturing Company Cold conduit insulation device

Family Cites Families (605)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
DE69233222T2 (de) 1991-05-28 2004-08-26 Trikon Technologies Ltd., Thornbury Verfahren zum Füllen eines Hohlraumes in einem Substrat
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
TW347570B (en) 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
KR100804853B1 (ko) 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 삼불화염소가스발생기시스템
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2002541664A (ja) 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1160826A3 (en) 2000-05-30 2006-12-13 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
AU2003275239A1 (en) 2002-09-30 2004-04-23 Miasole Manufacturing apparatus and method for large-scale production of thin-film solar cells
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP2006526125A (ja) 2003-05-13 2006-11-16 アプライド マテリアルズ インコーポレイテッド 処理チャンバの開口を封止するための方法および装置
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JPWO2006098101A1 (ja) 2005-03-16 2008-08-21 日本電気株式会社 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
KR101560705B1 (ko) 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
CN101835521A (zh) 2007-10-26 2010-09-15 应用材料公司 利用改进燃料线路的用于智能减废的方法与设备
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101892467B1 (ko) 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
KR101496148B1 (ko) 2008-05-15 2015-02-27 삼성전자주식회사 반도체소자 및 그 제조방법
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8736587B2 (en) * 2008-07-10 2014-05-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) * 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2012518281A (ja) 2009-02-15 2012-08-09 ウッドラフ、ジェイコブ 平衡前駆体から作られる、太陽電池の吸収層
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
WO2010115128A2 (en) 2009-04-03 2010-10-07 Applied Materials, Inc. High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) * 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
WO2011001735A1 (ja) 2009-07-01 2011-01-06 三菱電機株式会社 薄膜太陽電池およびその製造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
JP2011108739A (ja) * 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
CN102598285B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP2011210778A (ja) * 2010-03-29 2011-10-20 Dainippon Printing Co Ltd 薄膜トランジスタ基板
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR101877377B1 (ko) * 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) * 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
KR20120100241A (ko) * 2011-03-03 2012-09-12 인하대학교 산학협력단 박막 트랜지스터 및 그 제조 방법, 박막 트랜지스터를 구비한 평판 표시 장치
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
JP6078063B2 (ja) 2011-07-13 2017-02-08 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 薄膜トランジスタデバイスの製造方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013206919A (ja) * 2012-03-27 2013-10-07 Sony Corp 薄膜トランジスタおよびその製造方法ならびに表示装置
US9653614B2 (en) * 2012-01-23 2017-05-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for manufacturing the same
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
WO2013129701A1 (ja) * 2012-03-02 2013-09-06 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
WO2013134653A1 (en) * 2012-03-09 2013-09-12 Air Products And Chemicals, Inc. Methods for making silicon containing films on thin film transistor devices
CN104271797B (zh) * 2012-03-09 2017-08-25 弗萨姆材料美国有限责任公司 显示器件的阻隔材料
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
CN102790012A (zh) * 2012-07-20 2012-11-21 京东方科技集团股份有限公司 阵列基板的制造方法及阵列基板、显示装置
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI826650B (zh) 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) * 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI614102B (zh) 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG10201804322UA (en) 2013-08-21 2018-07-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
US9685371B2 (en) 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
SG10201805220TA (en) 2013-12-22 2018-08-30 Applied Materials Inc Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) * 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) * 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016111833A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI825991B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
JP6802191B2 (ja) 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サセプタの位置付け及び回転装置、並びに使用の方法
KR102542758B1 (ko) 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
FR3042400A1 (fr) 2015-10-15 2017-04-21 Essilor Int Dispositif de test du comportement visuel d'un individu et methode de determination d'au moins un parametre de conception optique d'une lentille ophtalmique utilisant un tel dispositif
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10516060B2 (en) 2016-03-11 2019-12-24 Semiconductor Energy Laboratory Co., Ltd. Composite and transistor
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
EP3520136A4 (en) 2016-09-30 2020-05-06 Applied Materials, Inc. METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI758398B (zh) 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
WO2018194807A1 (en) 2017-04-21 2018-10-25 Applied Materials, Inc. Improved electrode assembly
KR20190137935A (ko) 2017-05-01 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 진공 격리 및 사전-프로세싱 환경을 갖는 고압 어닐링 챔버
WO2018204651A1 (en) 2017-05-03 2018-11-08 Applied Materials, Inc. Integrated substrate temperature measurement on high temperature ceramic heater
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110637353A (zh) 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Also Published As

Publication number Publication date
JP2023063338A (ja) 2023-05-09
US20210257221A1 (en) 2021-08-19
SG11202008256WA (en) 2020-09-29
WO2019173006A1 (en) 2019-09-12
TW201945570A (zh) 2019-12-01
US10998200B2 (en) 2021-05-04
EP3762962A1 (en) 2021-01-13
CN111902929A (zh) 2020-11-06
EP3762962A4 (en) 2021-12-08
US11881411B2 (en) 2024-01-23
JP2021515412A (ja) 2021-06-17
JP7239598B2 (ja) 2023-03-14
KR20200115677A (ko) 2020-10-07
TWI707969B (zh) 2020-10-21
KR102536820B1 (ko) 2023-05-24
US20190279879A1 (en) 2019-09-12

Similar Documents

Publication Publication Date Title
KR102536820B1 (ko) 금속 함유 재료들을 위한 고압 어닐링 프로세스
KR101670425B1 (ko) 금속 산질화물 tft들을 위한 캡핑 층들
JP6204917B2 (ja) アルゴンガス希釈によるシリコン含有層を堆積するための方法
US9123707B2 (en) Methods for forming a hydrogen free silicon containing dielectric film
US20110095288A1 (en) Thin film transistor and display device
CN105144391A (zh) 金属氧化物tft稳定性改进
KR20140018702A (ko) 박막 트랜지스터 및 그 제조 방법
US10748759B2 (en) Methods for improved silicon nitride passivation films

Legal Events

Date Code Title Description
A107 Divisional application of patent
E902 Notification of reason for refusal