JP2021515412A - 金属含有材料の高圧アニーリングプロセス - Google Patents

金属含有材料の高圧アニーリングプロセス Download PDF

Info

Publication number
JP2021515412A
JP2021515412A JP2020547132A JP2020547132A JP2021515412A JP 2021515412 A JP2021515412 A JP 2021515412A JP 2020547132 A JP2020547132 A JP 2020547132A JP 2020547132 A JP2020547132 A JP 2020547132A JP 2021515412 A JP2021515412 A JP 2021515412A
Authority
JP
Japan
Prior art keywords
metal
layer
containing layer
substrate
oxygen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2020547132A
Other languages
English (en)
Other versions
JP7239598B2 (ja
Inventor
コーシャル ケー スィング
コーシャル ケー スィング
メイイー シェック
メイイー シェック
シュリーニバス ディー ネマニ
シュリーニバス ディー ネマニ
エリー ワイ イェ
エリー ワイ イェ
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021515412A publication Critical patent/JP2021515412A/ja
Priority to JP2023031560A priority Critical patent/JP2023063338A/ja
Application granted granted Critical
Publication of JP7239598B2 publication Critical patent/JP7239598B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/48Ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/38Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions
    • H01L21/383Diffusion of impurity materials, e.g. doping materials, electrode materials, into or out of a semiconductor body, or between semiconductor regions using diffusion into or out of a solid from or into a gaseous phase
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/58After-treatment
    • C23C14/5806Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02551Group 12/16 materials
    • H01L21/02554Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02565Oxide semiconducting materials not being Group 12/16 materials, e.g. ternary compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02614Transformation of metal, e.g. oxidation, nitridation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/44Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428
    • H01L21/447Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/38 - H01L21/428 involving the application of pressure, e.g. thermo-compression bonding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/34Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies not provided for in groups H01L21/0405, H01L21/0445, H01L21/06, H01L21/16 and H01L21/18 with or without impurities, e.g. doping materials
    • H01L21/46Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/428
    • H01L21/477Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/6675Amorphous silicon or polysilicon transistors
    • H01L29/66757Lateral single gate single channel transistors with non-inverted structure, i.e. the channel layer is formed before the gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66969Multistep manufacturing processes of devices having semiconductor bodies not comprising group 14 or group 13/15 materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/7869Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate
    • H01L29/78693Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising an oxide semiconductor material, e.g. zinc oxide, copper aluminium oxide, cadmium stannate the semiconducting oxide being amorphous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02538Group 13/15 materials
    • H01L21/0254Nitrides

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Thermal Sciences (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本開示は、TFT表示装置用途、半導体またはメモリ用途における金属含有層にアニーリングプロセスを実施する方法を提示する。1つの例では、金属含有層を基板上に形成する方法は、処理チャンバ内の基板に酸素含有混合ガスを供給するステップであって、基板が、光学的に透明な基板に配置された金属含有層を含む、ステップと、酸素含有混合ガスを処理チャンバ内で約2バールから約50バールの間のプロセス圧力に維持するステップと、金属含有層を酸素含有混合ガスの存在下で熱アニールするステップとを含む。【選択図】図1

Description

本発明の実施形態は一般に、TFTデバイス構造体、半導体、またはメモリ用途に利用できる膜スタックの金属含有層を形成する方法に関する。
表示デバイスは、テレビ、モニタ、携帯電話、MP3プレーヤ、電子ブックリーダ、および携帯情報端末(PAD)などの広範囲の電子応用分野で広く使用されている。表示デバイスは一般に、液晶に電界を加えることによって所望の画像を生成するように設計され、この液晶は、2枚の基板間のギャップを埋めており、誘電場の強度を制御する異方性の誘電率を有する。基板を通過させる光の量を調整することによって、光強度および画像強度、画質および消費電力を効率的に制御することができる。
アクティブマトリクス液晶表示装置(AMLCD)またはアクティブマトリクス有機発光ダイオード(AMOLED)などの多種多様な表示デバイスが、タッチスクリーンパネルを利用する表示デバイスの光源として使用され得る。TFTデバイスの製造において、電子移動度が高く、漏洩電流が少なく、絶縁破壊電圧が高い電子デバイスが、光が通過するためのより大きい画素面積および回路の集積化を可能にして、その結果、より明るい表示装置、より高い総合電気効率、より速い応答時間、およびより高い解像度の表示装置が得られる。不純物を含む金属電極層のように、デバイス内に形成される材料層の膜品質が低いと、デバイスの電気性能が悪くなり、デバイスの実用寿命が短くなることが多い。それゆえに、TFTデバイス内に膜層を形成し集積する安定した確実な方法が、閾電圧シフトが少ない電子デバイスを製造する際に使用する、膜漏洩が少なく絶縁破壊電圧が高いデバイス構造体を提供するために非常に重要になっており、電子デバイスの総合性能の改善が望まれている。
したがって、デバイス電気性能およびデバイス安定性の改善をもたらすTFTデバイスを製造するための、材料の改善が必要とされている。
本開示は、TFT表示装置用途、半導体または抵抗ランダムアクセスメモリ(ReRAM)における金属含有層にアニーリングプロセスを実施する方法を提示する。1つの例では、金属含有層を基板上に形成する方法は、処理チャンバ内の基板に酸素含有混合ガスを供給するステップであって、基板が、光学的に透明な基板に配置された金属含有層を含むステップと、酸素含有混合ガスを処理チャンバ内で2バールから50バールの間のプロセス圧力に維持するステップと、金属含有層を酸素含有混合ガスの存在下で熱アニールするステップとを含む。
別の例では、基板上に配置された金属含有層の密度を高くする方法は、光学的に透明な基板上に配置された金属含有層を2バールより大きい圧力で熱処理するステップと、誘電体層を熱処理する間に基板温度を500℃未満に維持するステップとを含む。
さらに別の例では、基板上に配置された金属含有層の密度を高くする方法は、基板上に金属含有層を形成するステップと、金属含有層にドーパントを注入するステップと、基板上の金属含有層を2バールよりも大きい圧力で、基板温度を500℃未満に維持しながら熱処理するステップとを含む。
上述の、本発明の特徴が列挙された様式が詳細に理解できるように、上で簡潔に要約した本発明のより具体的な説明は、添付の図面にそのいくつかが示されている実施形態を参照することによって得られるであろう。しかし、本発明が、その他の同様に効果的な実施形態を認めることができるので、添付の図面は、本発明の典型的な実施形態を示すのみであり、したがって、本発明の範囲を限定するものとみなされるべきでないことに留意されたい。
いくつかの実施形態による、カセットが配置されている処理チャンバの簡略化前面断面図である。 図1の処理チャンバを組み込むことができるクラスタシステムの図である。 薄膜トランジスタデバイス構造の1つの例の断面図である。 薄膜トランジスタデバイス構造の別の例の断面図である。 いくつかの実施形態による、金属含有材料において実施されるアニーリングプロセスのフロー図である。 いくつかの実施形態による、図5の金属含有材料を熱アニールする順序の1つの実施形態を描写する図である。
理解しやすいように、可能な場合には同様のアニール条件による大気圧でのアニールなどの、各図に共通の同じ要素を指定するのに同じ参照数字が使用されている。1つの実施形態の要素および特徴は、別に詳述されていなくても他の実施形態に有利に組み込まれることが企図されている。
しかし、本発明では、その他の同様に効果的な実施形態を認めることができるので、添付の図面は、本発明の例示的な実施形態を示すのみであり、したがって、本発明の範囲を限定するものとみなされるべきでないことに留意されたい。
本開示の実施形態は一般に、TFTデバイス構造体と、表示デバイスの電気性能を向上させるようにTFTデバイス構造体のソース、ドレイン、インターフェースおよびコンタクト領域の活性層に熱アニーリングプロセスを実施する方法とを提示する。半導体またはメモリデバイス製造プロセスを含む他の用途でもまた、本明細書に記載の実施形態を利用することができる。熱アニーリングプロセスでは、金属電極、ソース/ドレインおよび/またはコンタクト領域、および/または基板上の膜層の活性層膜特性の密度を上げることができる。1つの例では、熱処理プロセスは、金属含有層(たとえば、活性層)などの、金属含有層の熱エネルギーを供給するために実施され、あるいは、金属電極層(たとえば、金属ゲート電極、ソース−ドレインおよび/またはコンタクト領域もしくは電極層、または他の適切な金属構造体)がTFTデバイス内に形成される。熱アニーリングプロセスでは、活性層膜構造体の酸素欠乏の密度を上げる、または最小限にして、良好な品質、インターフェース管理および熱安定性をデバイス構造体にもたらすことができる。熱アニーリングプロセスではまた、金属含有材料の結晶性の程度を増大させて、金属含有層の電気特性を改善することもできる。それゆえに、表示デバイスのソース/ドレインおよび/またはコンタクト領域の金属電極および活性層の所望の膜特性により、トランジスタデバイスおよびダイオードデバイスの電気性能を効率的に向上させることができる。
図1は、単一基板の高圧アニーリングプロセスのための、単一基板処理チャンバ100の簡略化前面断面図である。単一基板処理チャンバ100は、外面112と、内部容積部115を囲む内面113とを備えた本体110を有する。図1にあるようないくつかの実施形態では、本体110は環状断面を有するが、他の実施形態では、本体110の断面は長方形または任意の閉じた形状であり得る。本体110の外面112は、それだけには限らないがステンレス鋼などの、耐食鋼(CRS)から作ることができる。単一基板処理チャンバ100から外部環境への熱損失を防止する1つまたは複数の熱遮蔽体125が、本体110の内面113に配置される。本体110の内面113ならびに熱遮蔽体125は、それだけには限らないがHASTELLOY(登録商標)、ICONEL(登録商標)、およびMONEL(登録商標)などの、高い腐食耐性を示すニッケルベースの鋼合金から作ることができる。
基板支持体130が、内部容積部115の中に配置される。基板支持体130は、ステム134と、ステム134によって保持された基板支持部材132とを有する。ステム134は、チャンバ本体110を貫通して形成された通路122に通される。アクチュエータ138に接続されたロッド139は、チャンバ本体110を貫通して形成された第2の通路123に通される。ロッド139は、基板支持体130のステム134を収容する開口136を有する、プレート135に連結される。リフトピン137が基板支持部材132に接続される。アクチュエータ138は、プレート135が上または下へ動いてリフトピン137と接続および分離するようにロッド139を作動する。リフトピン137が上昇または下降すると、基板支持部材132は、チャンバ100の内部容積部115の中で上昇または下降する。基板支持部材132には、中心に抵抗加熱要素131が埋設されている。電源133が、抵抗加熱要素131に電力供給するように構成される。電源133ならびにアクチュエータ138の動作は、コントローラ180によって制御される。
単一基板処理チャンバ100は、本体110に開口111を有し、この開口を通して1つまたは複数の基板120が、内部容積部115に配置された基板支持体130に載せられ、またそこから降ろされ得る。開口111は、本体110にトンネル121を形成する。スリットバルブ128は、スリットバルブ128が開いているときにしか開口111および内部容積部115にアクセスできないように、トンネル121を封止可能に閉鎖するように構成される。処理用の内部容積部115を密閉するために、高圧封止材127を利用してスリットバルブ128と本体110の間を封止する。高圧封止材127は、ポリマーから、たとえば、それだけには限らないがパーフルオロエラストマーおよびポリテトラフルオロエチレン(PTFE)などのフルオロポリマーから作ることができる。高圧封止材127はさらに、封止性能を改善するために封止材にバイアスをかけるばね部材を含み得る。処理中に高圧封止材127を高圧封止材127の最大安全動作温度より下に維持するために、冷却チャネル124が、高圧封止材127に隣接するトンネル121に配置される。冷却流体源126からの、それだけには限らないが不活性、誘電性および高性能の熱伝達流体などの冷却剤を冷却チャネル124の中に循環させることができる。冷却流体源126からの冷却剤の流れは、温度センサ116または流量センサ(図示せず)から受け取られたフィードバックを通して、コントローラ180によって制御される。スリットバルブ128が開いているときに開口111を通る、内部容積部115からの熱の流れを阻止するために、環状形状の熱チョーク129がトンネル221の周囲に形成される。
単一基板処理チャンバ100は、本体110を貫通するポート117を有し、このポートは、ガスパネル150、コンデンサ160およびポート117を接続する流体回路190に流体連通している。流体回路190は、ガス導管192、供給源導管157、入口分離バルブ155、排気導管163、および出口分離バルブ165を有する。いくつかのヒータ196、158、152、154、164、166は、流体回路190の様々な部分とのインターフェースとなっている。いくつかの温度センサ151、153、119、167および169もまた、温度測定値を取り込み、その情報をコントローラ180に送るために流体回路190の様々な部分に配置されている。コントローラ180は温度測定値情報を用いて、流体回路190の温度が、流体回路190および内部容積部115に配置された処理流体の凝縮点を超える温度に維持されるように、ヒータ152、154、158、196、164、および166の動作を制御する。
ガスパネル150は、加圧された処理流体を内部容積部115に供給するように構成される。内部容積部115に導入された処理流体の圧力は、本体110に連結された圧力センサ114によって監視される。コンデンサ160は、冷却流体供給源(図示せず)に流体連結され、ガス導管192を通って内部容積部115を出る気相の処理流体を凝縮するように構成される。こうして、凝縮された処理流体はポンプ176によって取り出される。1つまたは複数のヒータ140が本体110に配置され、単一基板処理チャンバ100の内部容積部115を加熱するように構成される。ヒータ140、152、154、158、196、164、および166は、流体回路190の処理流体を気相に維持し、コンデンサ160への出口分離バルブ165は、流体回路中での凝縮を防止するために開いている。
コントローラ180は、単一基板処理チャンバ100の動作を制御する。コントローラ180は、ガスパネル150、コンデンサ160、ポンプ170、入口分離バルブ155、出口分離バルブ165、電源133および145の動作を制御する。コントローラ180はまた、温度センサ116、圧力センサ114、アクチュエータ138、冷却流体供給源126および温度読み取りデバイス156および162に通信可能に接続される。
処理流体には、酸素含有および/または窒素含有ガス、および/またはカルコゲンもしくはテルル(S、Se、Teなど)ガス、または酸素、乾燥蒸気、水、過酸化水素、アンモニア、S蒸気、Se蒸気、H2S、H2Seなどの蒸気が含まれ得る。処理流体は、基板上で金属材料と反応させて金属酸素窒化物、金属酸化物、金属オキシカルコゲナイドまたは金属カルコゲナイドを形成することができる。酸素含有および/または窒素含有ガスの代替として、またはそれに加えて、処理流体にはケイ素含有ガスも含まれ得る。ケイ素含有ガスの例としては、有機ケイ素、テトラアルキルオルソシリケートガスおよびジシロキサンがある。有機ケイ素ガスには、少なくとも1つの炭素−ケイ素結合を有する有機化合物のガスが含まれる。テトラアルキルオルソシリケートガスには、SiO4 4-イオンと結びついた4つのアルキル基から構成されたガスが含まれる。より具体的には、1つまたは複数のガスは、(ジメチルシリル)(トリメチルシリル)メタン((Me)3SiCH2SiH(Me)2)、ヘキサメチルジシラン((Me)3SiSi(Me)3)、トリメチルシラン((Me)3SiH)、クロロトリメチルシラン((Me)3SiCl)、テトラメチルシラン((Me)4Si)、テトラエトキシシラン((EtO)4Si)、テトラメトキシシラン((MeO)4Si)、テトラキス−(トリメチルシリル)シラン((Me3Si)4Si)、(ジメチルアミノ)ジメチル−シラン((Me2N)SiHMe2)、ジメチルジエトキシシラン((EtO)2Si(Me)2)、ジメチル−ジメトキシシラン((MeO)2Si(Me)2)、メチルトリメトキシシラン((MeO)3Si(Me))、ジメトキシテトラメチル−ジシロキサン(((Me)2Si(OMe))2O)、トリス(ジメチルアミノ)シラン((Me2N)3SiH)、ビス(ジメチルアミノ)メチルシラン((Me2N)2CH3SiH)、ジシロキサン((SiH32O)、およびこれらの組み合わせとすることができる。
基板120を処理する間、高圧領域115の環境は、処理流体を高圧領域内で蒸気相に維持する温度および圧力に維持される。このような圧力および温度は、処理流体の組成に基づいて選択される。水蒸気の場合では、温度および圧力は、水蒸気を乾燥蒸気状態に維持する条件に保持される。1つの例では、高圧領域115は、大気圧よりも大きい圧力、たとえば約2バールよりも大きい圧力に加圧される。別の例では、高圧領域115は、約20から約50バールの間など、約10から約50バールの間の圧力に加圧される。別の例では、高圧領域115は、約100バールまでの圧力に加圧される。処理する間、高圧領域115はまた、高温に、たとえば、約300℃から約500℃の間などの225℃を超える温度に維持される(カセット150に配置される基板155のサーマルバジェットによって制限される)。
図2は、図1に示された処理チャンバ100などの、1つまたは複数の処理チャンバを含む例示的な処理システム200の概略的な上面図であり、処理チャンバは処理システムに組み込まれ一体化されている。1つの実施形態では、処理システム200は、Santa Clara、CaliforniaにあるApplied Materials,Inc.から市販されている、Centura(登録商標)またはEndura(登録商標)一体化処理システムとすることができる。他の処理システム(他の製造業者からのものを含む)が、本開示から利益を得るように適合され得ることが企図される。
システム200は、真空気密処理プラットフォーム204、ファクトリインターフェース202、およびシステムコントローラ244を含む。プラットフォーム204は、図1に描写された1つの処理チャンバ100などの、複数の処理チャンバ100、212、232、228、220と、真空基板移送チャンバ236に連結されている少なくとも1つのロードロックチャンバ222とを含む。2つのロードロックチャンバ222が図2に示されている。ファクトリインターフェース202は、ロードロックチャンバ222によって移送チャンバ236に連結されている。
1つの実施形態では、ファクトリインターフェース202は、基板を移送しやすくするために、少なくとも1つのドッキングステーション208、および少なくとも1つのファクトリインターフェースロボット214を含む。ドッキングステーション208は、1つまたは複数の前方開口型統一ポッド(FOUP)を受け入れるように構成される。2つのFOUP 206A〜Bが、図2の実施形態に示されている。ブレード216がロボット214の一方の端部に配置されているファクトリインターフェースロボット214は、ロードロックチャンバ222を経由する処理のために、基板をファクトリインターフェース202から処理プラットフォーム204まで移送するように構成される。任意選択で、1つまたは複数の計測学ステーション518が、FOUP 206A〜Bからの基板を測定しやすくするために、ファクトリインターフェース202の末端226に接続され得る。
ロードロックチャンバ222のそれぞれが、ファクトリインターフェース202に連結された第1のポート、および移送チャンバ236に連結された第2のポートを有する。ロードロックチャンバ222は、圧力制御システム(図示せず)に連結され、このシステムは、ロードロックチャンバ222をポンプダウンおよび通気して、移送チャンバ236の真空環境と、ファクトリインターフェース202の実質的に周囲の(たとえば大気の)環境との間に基板を通しやすくする。
移送チャンバ236には、真空ロボット230が配置されている。真空ロボット230は、ロードロックチャンバ222と計測学システム210と処理チャンバ212、232、228、220の間で基板224を移送することができるブレード234を有する。
システム200の1つの実施形態では、システム200は、1つまたは複数の処理チャンバ100、212、232、228、220を含むことがあり、これらは、アニーリングチャンバ(たとえば、高圧アニーリングチャンバ、RTPチャンバ、レーザアニールチャンバ)、堆積チャンバ、エッチチャンバ、洗浄チャンバ、硬化チャンバ、または他の同様のタイプの半導体処理チャンバとすることができる。システム200のいくつかの実施形態では、処理チャンバ100、212、232、228、220のうちの1つまたは複数、移送チャンバ236、ファクトリインターフェース202および/またはロードロックチャンバ222のうちの少なくとも1つ。
システムコントローラ244は、処理システム200に連結される。計算デバイス201を含み得る、または計算デバイス201内に含まれ得るシステムコントローラ244は、システム200の処理チャンバ100、212、232、228、220の直接制御を用いて処理システム200の動作を制御する。あるいは、システムコントローラ244は、処理チャンバ100、212、232、228、およびシステム200と結びついたコンピュータ(またはコントローラ)を制御することができる。動作中、システムコントローラ244はまた、それぞれのチャンバからのデータ収集およびフィードバックによって、システム200の動作を最適化することも可能にする。
システムコントローラ244は、上述の計算デバイス201とほとんど同様に、一般に中央処理ユニット(CPU)238、メモリ240、および支援回路242を含む。CPU238は、工業環境で使用できる汎用コンピュータプロセッサの任意の形のものでよい。支援回路242は、従来CPU238に連結され、キャッシュ、クロック回路、入力/出力サブシステム、電源などを含み得る。ソフトウェアルーチンにより、CPU238が特定用途向けコンピュータ(コントローラ)244に変わる。ソフトウェアルーチンは、システム200から遠隔に設置されている第2のコントローラ(図示せず)によって記憶および/または実行されてもよい。
図3は、金属含有層を含むTFTデバイス350の一例を描写しており、この金属含有層は、図2に描写されたシステム200に組み込むことができる図1に描写の高圧アニーリングプロセス100などの、高圧アニーリングチャンバ内で熱アニーリングプロセスにかけることができる。薄膜トランジスタデバイス構造体350は、基板301に配置されたボトムゲートTFT構造体である。基板301は、異なるデバイス構造体または異なる膜スタックを基板301上に形成しやすくするために、その上にあらかじめ形成されている膜、構造体または層の異なる組み合わせを有し得ることに留意されたい。1つの例では、基板301は、図3に示されるように、その上に形成されたデバイス構造体350を有し得る。あるいは、基板301は、図4にさらに示されるように、その上に配置された別のデバイス構造体450を有することがあり、これについては以下でさらに説明する。基板301は、ガラス基板、プラスチック基板、ポリマー基板、金属基板、単一基板、ロールツーロール基板、または他の、薄膜トランジスタを形成するのに適している適切な透明な基板のうちの任意の1つとすることができる。
ゲート電極層302が基板301上に形成され、パターニングされ、ゲート絶縁体層304が後に続く。1つの実施形態では、ゲート電極層302は、アルミニウム(Al)、タングステン(W)、クロム(Cr)、タンタル(Ta)、モリブデン(Mo)、銅(Cu)またはこれらの組み合わせなどの、任意の適切な金属材料から製作することができる。ゲート絶縁体層304に適している材料には、酸化ケイ素(SiO2)、酸窒化ケイ素(SiON)、窒化ケイ素(SiN)などが含まれる。図3に描写された薄膜トランジスタデバイス構造体350は、ゲート電極層302がデバイス構造体350の底部に形成されているボトムゲートデバイス構造であることに留意されたい。
活性層306が、ゲート絶縁体層304の上に形成される。活性層306に利用される材料は、プラスチック材料などのフレキシブル基板材料が基板の損傷なしに低温で処理されることを可能にする適切な低温製造である、高い電子移動度を有する透明な金属酸化物材料から選択することができる。活性層306に利用できる適切な材料の例としては、a−IGZO(アモルファスインジウムガリウム亜鉛酸化物)、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuBO2、CuAlO2、CuGaO2、SrCuO、LaCuOS、GaN、InGaN、AlGaNまたはInGaAlNが特に挙げられる。
活性層306の形成後、バリア層308を活性層306の上に形成することができる。バリア層308は、次にその上に形成される金属電極層310(たとえば、ソース−ドレイン電極用)に良好な境界面接着ならびに良好なバリア特性(たとえば、拡散バリア)をもたらすように、金属含有材料から形成することができる。バリア層308は、活性層306の上に所望のパターンを形成するようにパターニングされて、次のエッチングプロセスで基板301に配置される膜層にフィーチャが転写されやすくなり得る。図3に描写されたバリア層308は所望のパターンにパターニングされるが、活性層306から金属電極層310の中へ、またはその逆に成分が拡散することを防止する阻止/拡散バリア特性をバリア層308が効率的に実現できる限り、バリア層308は、デバイス構造体350の全体連続ブランク膜、または必要に応じて任意の異なるフィーチャを含めて、任意の形にできることに留意されたい。1つの実施形態では、バリア層308は、Ta25またはTiO2などの金属誘電体層によって、または必要に応じて任意の適切な金属誘電体層によって製作された、図3に描写されたような、金属含有誘電体層の単層とすることができる。別の実施形態では、バリア層308は、必要に応じて複合体膜の形にすることができる。
ソース−ドレイン金属電極層などの金属電極層310がバリア層308の上に配置された後、次に、エッチングプロセスが実施されてチャネル320が金属電極層310に形成される。エッチング後、次に、パッシベーション層などの絶縁材料層314が金属電極層310の上に形成されて、薄膜トランジスタデバイス構造体350を形成するプロセスが完了する。
1つの実施形態では、金属電極層310として使用できる材料の例としては、銅(Cu)、金、銀(Ag)、アルミニウム(Al)、タングステン(W)、モリブデン(Mo)、クロム(Cr)、タンタル(Ta)、これらの合金、およびこれらの組み合わせがある。絶縁材料層314として使用できる適切な材料には、酸化ケイ素(SiO2)、酸窒化ケイ素(SiON)、または窒化ケイ素(SiN)などが含まれる。
図4は、図3に描写されたボトムゲートデバイス構造体350ではなく、基板301の上に形成されたトップゲート低温ポリシリコン(LTPS)TFTデバイス構造体450の一例を描写している。LTPS TFTデバイス450は、任意選択の絶縁層404が上に配置されていたり配置されていなかったりする光学的に透明な基板301上に形成されたソース領域409a、チャネル領域408、およびドレイン領域409b(またはたとえば、金属コンタクト領域またはソースドレイン金属コンタクトとも呼ばれる)を含む活性層452を用いて構築されたMOSデバイスである。1つの例では、ソース領域409a、チャネル領域408、およびドレイン領域409bを含む活性層は、プラスチック材料などのフレキシブル基板材料が基板の損傷なしに低温で処理されることを可能にする適切な低温製造である、高い電子移動度を有する金属酸化物材料などの、透明な金属含有層から製作することができる。ソース領域409a、チャネル領域408、およびドレイン領域409bに利用できるこのような材料の適切な例としては、a−IGZO(アモルファスインジウムガリウム亜鉛酸化物)、ドープされたIGZO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuBO2、CuAlO2、CuGaO2、SrCuO、LaCuOS、GaN、InGaN、AlGaNまたはInGaAlNが特に挙げられる。
次に、ゲート絶縁層406が、堆積されたポリシリコン層の上部に堆積されて、バリア層411およびその上に配置されたゲート電極などの金属電極層414が、チャネル領域408、ソース領域409a、およびドレイン領域409bから分離される。バリア層411は、次にその上に形成される金属電極層414(たとえば、ゲート電極)に良好な界面接着ならびに良好なバリア特性(たとえば、拡散バリア)をもたらすように、金属含有材料から形成することができる。バリア層411は、ゲート絶縁層406の上に所望のパターンを形成するようにパターニングされて、次のエッチングプロセスで基板102に配置される膜層にフィーチャが転写されやすくなり得る。バリア層411は、ゲート絶縁層406から金属電極層414の中へ、またはその逆に成分が拡散することを防止する阻止/拡散バリア特性を効率的に実現することができる。1つの実施形態では、バリア層411は、Ta25またはTiO2などの金属誘電体層によって、または必要に応じて任意の適切な金属誘電体層によって製作された、図4に描写されたような、金属含有誘電体層の単層とすることができる。別の実施形態では、バリア層411は、必要に応じて複合体膜の形にすることができる。
ゲート電極層414は、ゲート絶縁層406の上部に形成され、バリア層411がこれらの間に置かれている。ゲート絶縁層406はまた、一般に二酸化ケイ素(SiO2)層で作られるので、一般にはゲート酸化物層としても知られている。次に、層間絶縁体などの絶縁材料層412と、TFTデバイスの制御を可能にするために絶縁材料層412を貫通して、デバイス接続部(図示せず)とが作られる。
絶縁材料層412が形成された後、ソース−ドレイン金属電極層410a、410bが次に、絶縁材料層412に堆積、形成およびパターニングされる。ソース−ドレイン金属電極層410a、410bがパターニングされた後、パッシベーション層418が次に、ソース−ドレイン金属電極層410a、410bを覆って形成される。
図5は、図3〜4の活性層306、452、または図3〜4それぞれの金属電極302、310、410a、410b、414などの金属含有層に対して実施される、熱アニーリングプロセス500の1つの例のフロー図を示す。
方法500は操作502で、図3および図4の基板301などの基板を供給することによって開始する。基板301は、光学的に透明な基板とすることができる。基板301は、図6Aに示されるように、その上に配置された材料層601を含み得る。材料層601は、TFTデバイス構造体を形成するために利用できる単層または多層とすることができる。あるいは、材料層601は、TFTデバイス構造体を形成するのに利用できる複数の材料を含み得る構造体とすることができる。
基板301は、図6Bに示されるように、材料層601上に形成された金属含有層602をさらに含む。材料層601が存在しない例では、金属含有層602は、基板301上に直接形成することができる。いくつかの例では、金属含有層602は、図3もしくは図4の活性層306、452、または図3〜4の金属電極302、310、410a、410b、414として使用することができる。一例では、金属含有層602は、a−IGZO(アモルファスインジウムガリウム亜鉛酸化物)、ドープされたIGZO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuBO2、CuAlO2、CuGaO2、SrCuO、LaCuOS、GaN、InGaN、AlGaNまたはInGaAlNなどからなる群から選択された金属酸化物層である。1つの例では、金属含有層602は、IGZO層またはドープされたIGZO層である。あるいは、金属含有層602は、銅(Cu)、金、銀(Ag)、アルミニウム(Al)、タングステン(W)、モリブデン(Mo)、クロム(Cr)、タンタル(Ta)またはこれらの合金などの、金属層とすることができる。
操作504で、イオンを金属含有層602に注入するための任意選択のイオンドーピング/注入プロセスが実施されて、図6Cに示される、ドープされた金属含有層610が形成される。イオン注入プロセスが除かれる例では、より詳細に以下で説明する、操作506の熱アニーリングプロセスが金属含有層602に直接実施され得る。イオンドーピング/注入プロセスは、ドーパントが中に形成される金属含有層602の特定の位置で、特定の膜/表面特性をドープ、コーティング、処理、注入、挿入または修正するために実施されて、ドープされた金属含有層610が形成される。イオンドーピング/注入プロセスでは、金属含有層602の膜/表面特性を修正するために入射イオンを利用して、金属含有層602にドープされるドーパントによって、ドープされた金属含有層610を形成する。イオンドーピング/注入プロセスは、任意の適切なイオン注入/ドーピング処理ツールで実施することができる。所望の種類の原子を含むイオンは、所望の濃度で金属含有層602にドープすることができる。金属含有層602にドープされたイオンは、金属含有層602の格子構造、結晶性の程度、結合構造、または膜密度に影響を及ぼす、改善する、または変更する金属含有層602の膜/表面特性を修正して、ドープされた金属含有層610を形成することができる。
金属含有層602がInGaZnOを含む実施形態では、金属含有層602にドープされるイオンは、インジウム(In)またはモリブデン(Mo)、ガリウム(Ga)、亜鉛(Zn)などを含み得る。金属含有層602(たとえば、InGaZnO)にドープされるInまたはMoドーパントは、高い移動度などの電気特性、InGaZnO材料の(たとえば、アモルファス構造、C軸配向結晶構造(CAAC)、多結晶構造、さらには単結晶構造からの)結晶化度を変え、それによって、所望の膜特性を持つドープされた金属含有層610が得られると考えられる。たとえば、InGaZnO材料のInまたはMoドーパントによって得られる高い結晶化度により、膜特性の電子移動度が増大し、それによって、ドープされた金属含有層610が利用されると、TFTデバイス構造または半導体デバイスの電気性能が向上すると考えられる。
さらに、InGaZnO材料に含まれるガリウム(Ga)と酸化亜鉛(ZnO)の比率もまた、ドープされた金属含有層610の結果として生じる格子構造に影響を及ぼし得ると考えられる。InGaZnO材料に含まれるGa成分の比率により、膜透明度ならびに全体の膜バンドギャップが増大し得ると考えられる。InGaZnO材料に含まれるZnまたはZnO成分の比率により、移動度が向上すると共に、結晶化度向上のための熱アニーリング温度要件が緩和し得る。それゆえに、InGaZnO材料へのInドーパントの適切な用量を選択することによって、InGaZnO材料の所望の結晶性を得ることができる。さらに、InGaZnOの所望の結晶性はまた、後続の操作506での熱アニーリングプロセスの温度要件を緩和する助けにもなって、基板301が比較的低い熱サイクルバジェットを有する光学的に透明な材料であることが多いので、TFTデバイスの適用においてプロセスの利点をもたらす。
1つの実施形態では、InGaZnO材料中に結果として生じたInドーパントは、ドープされた金属含有層610中に形成された、約8.5×1015イオン/cm2などの、約5×1015イオン/cm2から約9×1015イオン/cm2の間のドーピング濃度を有し得る。さらに、InGaZnO材料中のInまたはMo成分の比率は、原子量で約10%〜13%から約14%〜16%へ増加させることができる(約15%から約30%の間の増加)。
いくつかのプロセスパラメータは、イオンドーピング/注入プロセスの間に制御することができる。イオンドーピング/注入プロセスは、イオンドーピング混合ガスをイオンドーピング/注入ツールに所望の量の電力エネルギーと共に供給することによって実施して、イオンドーピング混合ガスから基板301の中へイオンをドーピングすることができる。イオンドーピング混合ガスは、約10sccmから約1000sccmの間の流量で、イオンドーピング/注入ツールに供給することができる。注入の間に蒸気相状態で使用されるイオンドーピングに供給するための適切な成分には、インジウム蒸気およびモリブデン蒸気が含まれる。容量性または誘導性RF電力などのRF電力、DC電力、電磁エネルギー、イオンビーム、またはマグネトロンスパッタリングがイオンドーピング/注入プロセスに供給されて、処理中にイオンドーピング混合ガスを解離する助けになり得る。解離エネルギーによって生成されたイオンは、基板支持体に、または基板支持体の上のガス入口に、または両方にDCまたはRF電気バイアスを加えることによって生じた電界を用いて、基板に向けて加速することができる。いくつかの実施形態では、ガス含有高エネルギーイオンはプラズマとすることができる。約35kevから約55keVの間などの、約20keVから約80kevの間の、たとえば約45keVのエネルギーが、イオンを金属含有層602に注入するために使用され得る。基板温度は、約15℃などの、約5℃から約50℃の間に制御され得る。
操作506で、高圧アニーリングプロセスが実施される。2バールよりは大きいが未満であるなどの、高いプロセス圧力で実施されるアニーリングプロセスは、ドープされる金属含有層610の空孔の密度を高くし修復することを助けて、図6Dに示された、所望の膜特性を持つアニールされた金属含有層603が形成され得る。いくつかの例では、高いプロセス圧力は100バールまでになり得る。イオンドーピング/注入プロセスが操作504で実施されない実施形態では、高圧アニーリングプロセスは、図6Bの金属含有層602に対し実施されて、図6Dに示される、アニールされた金属含有層603が形成され得る。アニーリングプロセスは、図1に描写された処理チャンバ100などの処理チャンバで、または基板を1枚ずつ処理するものを含む他の適切な処理チャンバで実施することができる。
操作506で実施される高圧アニーリングプロセスでは、処理圧力を蒸気相の、たとえば実質的に液滴が存在しない乾燥蒸気相の、高圧領域で維持する。処理圧力および温度は、膜欠陥を修復するために膜構造の密度を高くするように制御されて不純物が除去され、膜密度が高められる。1つの例では、高圧領域115は、たとえば約2バールを超える、大気圧より大きい圧力まで加圧される。別の例では、高圧領域115は、約35バールなどの、約5〜約50バールなどの、約5〜約100バールの圧力まで加圧される。この高圧は、膜構造体の密度を高くすることを効率的に助けることができるので、500℃未満などの比較的低い温度が、基板301の熱サイクル損傷の可能性を低減する。
処理の間、高圧領域115は、外側チャンバ110の中に配置されたヒータ122によって比較的低い温度に、たとえば、約150℃から約350℃の間などの、500℃未満の温度に維持される。それゆえに、基板への低いサーマルバジェットが、高圧アニーリングプロセスを低い温度状況と共に利用することによって獲得され得る。
高圧プロセスは、金属含有層602またはドープされた金属含有層610のダングリングボンドを取り除く駆動力をもたらし、それによって、金属含有層602のダングリングボンドがアニーリングプロセスの間に修復され、反応し、飽和し得ると考えられる。1つの例では、O3ガス、O2ガス、空気、H2O、H22、N2O、NO2、CO2、COおよび乾燥蒸気などの酸素含有ガス、または硫黄(S)蒸気およびセレン(Se)蒸気を含むカルコゲン蒸気、またはテルル蒸気もしくは他の適切なガスが、アニーリングプロセスの間に供給され得る。1つの特定の例では、酸素含有ガスは、水蒸気、たとえば乾燥蒸気、および/または空気を含む。アニーリングプロセスの間に、酸素含有ガスからの酸素成分は、金属含有層602に入り込み、結合構造を変え、その中の原子空孔を取り除き、それによって、格子構造が高密度になり強化され、金属含有層602の結晶化度が高まり得る。いくつかの例では、Ar、N2、He、Krなどの不活性ガスまたはキャリアガスが、酸素含有ガスと共に供給されることがある。1つの実施形態では、酸素含有混合ガスに供給される酸素含有ガスは、2バールより大きい圧力で供給される乾燥蒸気である。
1つの例示的な実施態様では、プロセス圧力は、5バールから100バールの間などの、20バールから約80バールの間などの、たとえば約25バールから75バールの間の、約35バールなどの、2バールより大きい圧力に調節される。プロセス温度は、約150℃から約380℃の間などの、約180℃から約400℃の間などの、150℃を超えるが500℃未満に制御することができる。1つの例では、硫黄(S)蒸気、セレン(Se)蒸気などのカルコゲン蒸気が、SまたはSeを含むInGaZnOなどの金属オキシカルコゲンのアニーリングプロセスの間に供給されることがある。
高圧のアニーリングプロセス後には、金属含有層602またはドープされた金属含有層610は、高密度化膜構造を有し、この構造は、約1大気圧の圧力の従来のアニーリングプロセスによってアニールされた金属含有層602またはドープされた金属含有層610と比較して、両アニーリングプロセスは同じアニール温度であるが、高い膜密度、高い膜移動度、低いキャリア濃度および低い膜抵抗を実現するアモルファス形態を持つ、比較的堅牢な膜構造になる。1つの例では、1大気圧の従来のアニーリングプロセスと比較して、同じアニール温度のもとではあるが、インジウムドーパント(ドープされた金属含有層610から形成)を含む高圧アニールされた金属含有層603の移動度は、約5倍から約20倍の間で増大し、抵抗は約10倍増大し、キャリア濃度は約100分の1に減少する。
1つの例では、1大気圧の従来のアニーリングプロセスと比較して、同じアニール温度のもとではあるが、インジウムドーパント(金属含有層602から形成)を含まない高圧アニールされた金属含有層603の移動度は、約1.5倍から約5倍の間で増大し、抵抗は約20パーセント〜約99パーセント増大し、キャリア濃度は約100分の1に減少する。
このように、金属含有層を熱アニールする方法が提供される。金属含有層は、2バールを超えるが50バール未満などの、高いプロセス圧力を用いる高圧アニーリングプロセスによって熱処理/アニールすることができる。このような高圧アニーリングプロセスを利用することによって、プロセス温度は500℃未満に維持され、それによって、金属含有層が形成される基板に付与されるサーマルバジェットが低減し、所望の結晶化度および構造集積化管理による良好な膜品質が実現し得る。
以上は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することができ、また本発明の範囲は、添付の特許請求の範囲によって決定される。

Claims (15)

  1. 金属含有層を基板上に形成する方法であって、
    処理チャンバ内の基板に酸素含有混合ガスを供給するステップであって、前記基板が、光学的に透明な基板に配置された金属含有層を含む、ステップと、
    前記酸素含有混合ガスを前記処理チャンバ内で2バールから50バールの間のプロセス圧力に維持するステップと、
    前記金属含有層を前記酸素含有混合ガスの存在下で熱アニールするステップとを含む、方法。
  2. 前記酸素含有混合ガスを供給するステップがさらに、基板温度を400℃未満に維持するステップを含む、請求項1に記載の方法。
  3. 酸素含有混合ガスが、O3ガス、O2ガス、H2O、H22、N2O、NO2、CO2、CO、空気、乾燥蒸気からなる群から選択された少なくとも1つの酸素含有ガスを含む、請求項1に記載の方法。
  4. 酸素含有混合ガスが乾燥蒸気または空気を含む、請求項1に記載の方法。
  5. 前記プロセス圧力が約5バールから100バールの間である、請求項1に記載の方法。
  6. 前記金属含有層が金属酸化物層である、請求項1に記載の方法。
  7. 金属酸化物層が、a−IGZO(アモルファスインジウムガリウム亜鉛酸化物)、ドープされたIGZO、InGaZnON、ZnO、ZnON、ZnSnO、CdSnO、GaSnO、TiSnO、CuBO2、CuAlO2、CuGaO2、SrCuO、LaCuOS、GaN、InGaN、AlGaNおよびInGaAlNからなる群から選択される、請求項1に記載の方法。
  8. 前記酸素含有混合ガスを供給するステップの前に、前記金属含有層にドーパントを注入するステップをさらに含む、請求項1に記載の方法。
  9. 前記金属含有層に注入される前記ドーパントがインジウムまたはモリブデンを含む、請求項8に記載の方法。
  10. 前記金属含有層に注入される前記ドーパントが前記金属含有層の結晶化度を高める、請求項9に記載の方法。
  11. 前記金属含有層がTFTデバイス構造体の活性層である、請求項1に記載の方法。
  12. 金属酸化物層がInGaZnONである、請求項1に記載の方法。
  13. 前記金属含有層がTFTデバイス構造体の電極である、請求項1に記載の方法。
  14. 前記金属含有層が前記熱アニールするステップの後に高い移動度を有する、請求項1に記載の方法。
  15. 前記金属含有層が前記熱アニーリルするステップの後により高い膜密度を有する、請求項1に記載の方法。
JP2020547132A 2018-03-09 2019-01-28 金属含有材料の高圧アニーリングプロセス Active JP7239598B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2023031560A JP2023063338A (ja) 2018-03-09 2023-03-02 金属含有材料の高圧アニーリングプロセス

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862641110P 2018-03-09 2018-03-09
US62/641,110 2018-03-09
PCT/US2019/015339 WO2019173006A1 (en) 2018-03-09 2019-01-28 High pressure annealing process for metal containing materials

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2023031560A Division JP2023063338A (ja) 2018-03-09 2023-03-02 金属含有材料の高圧アニーリングプロセス

Publications (2)

Publication Number Publication Date
JP2021515412A true JP2021515412A (ja) 2021-06-17
JP7239598B2 JP7239598B2 (ja) 2023-03-14

Family

ID=67842028

Family Applications (2)

Application Number Title Priority Date Filing Date
JP2020547132A Active JP7239598B2 (ja) 2018-03-09 2019-01-28 金属含有材料の高圧アニーリングプロセス
JP2023031560A Pending JP2023063338A (ja) 2018-03-09 2023-03-02 金属含有材料の高圧アニーリングプロセス

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2023031560A Pending JP2023063338A (ja) 2018-03-09 2023-03-02 金属含有材料の高圧アニーリングプロセス

Country Status (8)

Country Link
US (2) US10998200B2 (ja)
EP (1) EP3762962A4 (ja)
JP (2) JP7239598B2 (ja)
KR (2) KR20230079236A (ja)
CN (1) CN111902929A (ja)
SG (1) SG11202008256WA (ja)
TW (1) TWI707969B (ja)
WO (1) WO2019173006A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) * 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
EP4352780A1 (en) * 2021-06-09 2024-04-17 Watlow Electric Manufacturing Company Cold conduit insulation device

Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009278115A (ja) * 2008-05-15 2009-11-26 Samsung Electronics Co Ltd トランジスタとこれを含む半導体素子及びそれらの製造方法
JP2011108739A (ja) * 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
JP2011210778A (ja) * 2010-03-29 2011-10-20 Dainippon Printing Co Ltd 薄膜トランジスタ基板
JP2013175710A (ja) * 2012-01-23 2013-09-05 Semiconductor Energy Lab Co Ltd 半導体装置、及び半導体装置の作製方法
JP2013206919A (ja) * 2012-03-27 2013-10-07 Sony Corp 薄膜トランジスタおよびその製造方法ならびに表示装置
US20140239292A1 (en) * 2011-01-18 2014-08-28 Industry-Academic Cooperation Foundation Yonsei University Methods of forming oxide thin film and electrical devices and thin film transistors using the methods
US20140239291A1 (en) * 2013-02-27 2014-08-28 Inha-Industry Partnership Institute Metal-oxide semiconductor thin film transistors and methods of manufacturing the same
JP2014525143A (ja) * 2011-07-13 2014-09-25 アプライド マテリアルズ インコーポレイテッド 薄膜トランジスタデバイスの製造方法
JP2015515744A (ja) * 2012-03-09 2015-05-28 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated ディスプレイ・デバイスのためのバリア材料
JP2015517200A (ja) * 2012-03-09 2015-06-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法
JP2015529012A (ja) * 2012-07-20 2015-10-01 京東方科技集團股▲ふん▼有限公司 アレイ基板の製造方法、アレイ基板及び表示装置
US20150364554A1 (en) * 2014-06-12 2015-12-17 Industry-Academic Corporation Foundation, Yonsei University Oxide thin film, method for post-treating oxide thin film and electronic apparatus

Family Cites Families (593)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
DE69233222T2 (de) 1991-05-28 2004-08-26 Trikon Technologies Ltd., Thornbury Verfahren zum Füllen eines Hohlraumes in einem Substrat
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
TW347570B (en) 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
KR100804853B1 (ko) 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 삼불화염소가스발생기시스템
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP2002541664A (ja) 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6444372B1 (en) 1999-10-25 2002-09-03 Svg Lithography Systems, Inc. Non absorbing reticle and method of making same
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100629255B1 (ko) 1999-11-12 2006-09-29 삼성전자주식회사 반도체 포토 공정용 베이크 장치
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1160826A3 (en) 2000-05-30 2006-12-13 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
JP2003243374A (ja) 2002-02-20 2003-08-29 Tokyo Electron Ltd 基板処理装置及び基板処理方法
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
AU2003275239A1 (en) 2002-09-30 2004-04-23 Miasole Manufacturing apparatus and method for large-scale production of thin-film solar cells
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP2006526125A (ja) 2003-05-13 2006-11-16 アプライド マテリアルズ インコーポレイテッド 処理チャンバの開口を封止するための方法および装置
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JPWO2006098101A1 (ja) 2005-03-16 2008-08-21 日本電気株式会社 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
KR101101757B1 (ko) 2005-11-07 2012-01-05 주성엔지니어링(주) 제조비용을 절감한 진공챔버
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
KR20070068596A (ko) 2005-12-27 2007-07-02 삼성전자주식회사 베이크 장치
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
KR101560705B1 (ko) 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
CN101835521A (zh) 2007-10-26 2010-09-15 应用材料公司 利用改进燃料线路的用于智能减废的方法与设备
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101892467B1 (ko) 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US8736587B2 (en) * 2008-07-10 2014-05-27 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) * 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2012518281A (ja) 2009-02-15 2012-08-09 ウッドラフ、ジェイコブ 平衡前駆体から作られる、太陽電池の吸収層
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
WO2010115128A2 (en) 2009-04-03 2010-10-07 Applied Materials, Inc. High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) * 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
WO2011001735A1 (ja) 2009-07-01 2011-01-06 三菱電機株式会社 薄膜太陽電池およびその製造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102598285B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR101877377B1 (ko) * 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) * 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR20120100241A (ko) * 2011-03-03 2012-09-12 인하대학교 산학협력단 박막 트랜지스터 및 그 제조 방법, 박막 트랜지스터를 구비한 평판 표시 장치
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
JP5544666B2 (ja) 2011-06-30 2014-07-09 セメス株式会社 基板処理装置
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
WO2013129701A1 (ja) * 2012-03-02 2013-09-06 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI826650B (zh) 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI614102B (zh) 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG10201804322UA (en) 2013-08-21 2018-07-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
US9685371B2 (en) 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
KR20150062545A (ko) 2013-11-29 2015-06-08 삼성전기주식회사 베이크 장치
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
SG10201805220TA (en) 2013-12-22 2018-08-30 Applied Materials Inc Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) * 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016111833A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI825991B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
JP6802191B2 (ja) 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サセプタの位置付け及び回転装置、並びに使用の方法
KR102542758B1 (ko) 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
FR3042400A1 (fr) 2015-10-15 2017-04-21 Essilor Int Dispositif de test du comportement visuel d'un individu et methode de determination d'au moins un parametre de conception optique d'une lentille ophtalmique utilisant un tel dispositif
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10516060B2 (en) 2016-03-11 2019-12-24 Semiconductor Energy Laboratory Co., Ltd. Composite and transistor
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
EP3520136A4 (en) 2016-09-30 2020-05-06 Applied Materials, Inc. METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
TWI758398B (zh) 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
WO2018194807A1 (en) 2017-04-21 2018-10-25 Applied Materials, Inc. Improved electrode assembly
KR20190137935A (ko) 2017-05-01 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 진공 격리 및 사전-프로세싱 환경을 갖는 고압 어닐링 챔버
WO2018204651A1 (en) 2017-05-03 2018-11-08 Applied Materials, Inc. Integrated substrate temperature measurement on high temperature ceramic heater
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110637353A (zh) 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency

Patent Citations (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2009278115A (ja) * 2008-05-15 2009-11-26 Samsung Electronics Co Ltd トランジスタとこれを含む半導体素子及びそれらの製造方法
JP2011108739A (ja) * 2009-11-13 2011-06-02 Dainippon Printing Co Ltd 薄膜トランジスタ基板、その製造方法及び画像表示装置
JP2011210778A (ja) * 2010-03-29 2011-10-20 Dainippon Printing Co Ltd 薄膜トランジスタ基板
US20140239292A1 (en) * 2011-01-18 2014-08-28 Industry-Academic Cooperation Foundation Yonsei University Methods of forming oxide thin film and electrical devices and thin film transistors using the methods
JP2014525143A (ja) * 2011-07-13 2014-09-25 アプライド マテリアルズ インコーポレイテッド 薄膜トランジスタデバイスの製造方法
JP2013175710A (ja) * 2012-01-23 2013-09-05 Semiconductor Energy Lab Co Ltd 半導体装置、及び半導体装置の作製方法
JP2015515744A (ja) * 2012-03-09 2015-05-28 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated ディスプレイ・デバイスのためのバリア材料
JP2015517200A (ja) * 2012-03-09 2015-06-18 エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated 薄膜トランジスター機器上にケイ素含有膜を製造する方法
JP2013206919A (ja) * 2012-03-27 2013-10-07 Sony Corp 薄膜トランジスタおよびその製造方法ならびに表示装置
JP2015529012A (ja) * 2012-07-20 2015-10-01 京東方科技集團股▲ふん▼有限公司 アレイ基板の製造方法、アレイ基板及び表示装置
US20140239291A1 (en) * 2013-02-27 2014-08-28 Inha-Industry Partnership Institute Metal-oxide semiconductor thin film transistors and methods of manufacturing the same
US20150364554A1 (en) * 2014-06-12 2015-12-17 Industry-Academic Corporation Foundation, Yonsei University Oxide thin film, method for post-treating oxide thin film and electronic apparatus

Also Published As

Publication number Publication date
JP2023063338A (ja) 2023-05-09
US20210257221A1 (en) 2021-08-19
SG11202008256WA (en) 2020-09-29
WO2019173006A1 (en) 2019-09-12
TW201945570A (zh) 2019-12-01
US10998200B2 (en) 2021-05-04
KR20230079236A (ko) 2023-06-05
EP3762962A1 (en) 2021-01-13
CN111902929A (zh) 2020-11-06
EP3762962A4 (en) 2021-12-08
US11881411B2 (en) 2024-01-23
JP7239598B2 (ja) 2023-03-14
KR20200115677A (ko) 2020-10-07
TWI707969B (zh) 2020-10-21
KR102536820B1 (ko) 2023-05-24
US20190279879A1 (en) 2019-09-12

Similar Documents

Publication Publication Date Title
JP7239598B2 (ja) 金属含有材料の高圧アニーリングプロセス
KR101670425B1 (ko) 금속 산질화물 tft들을 위한 캡핑 층들
JP6204917B2 (ja) アルゴンガス希釈によるシリコン含有層を堆積するための方法
US9123707B2 (en) Methods for forming a hydrogen free silicon containing dielectric film
US8853683B2 (en) Semiconductor device, measurement apparatus, and measurement method of relative permittivity
WO2009129391A2 (en) Low temperature thin film transistor process, device property, and device stability improvement
US9396940B2 (en) Thin film semiconductors made through low temperature process
US11018223B2 (en) Methods for forming device isolation for semiconductor applications
KR20140018702A (ko) 박막 트랜지스터 및 그 제조 방법
US10748759B2 (en) Methods for improved silicon nitride passivation films
KR102571072B1 (ko) 박막 트랜지스터 및 그의 제조방법
CN116324019A (zh) 薄膜晶体管的制造方法

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200908

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20211110

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20220210

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20220711

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20221003

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230201

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230302

R150 Certificate of patent or registration of utility model

Ref document number: 7239598

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150