JP6802191B2 - サセプタの位置付け及び回転装置、並びに使用の方法 - Google Patents

サセプタの位置付け及び回転装置、並びに使用の方法 Download PDF

Info

Publication number
JP6802191B2
JP6802191B2 JP2017563068A JP2017563068A JP6802191B2 JP 6802191 B2 JP6802191 B2 JP 6802191B2 JP 2017563068 A JP2017563068 A JP 2017563068A JP 2017563068 A JP2017563068 A JP 2017563068A JP 6802191 B2 JP6802191 B2 JP 6802191B2
Authority
JP
Japan
Prior art keywords
susceptor
top plate
shaft
bottom plate
processing chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2017563068A
Other languages
English (en)
Other versions
JP2018518056A (ja
Inventor
ウィリアム ティー. ウィーバー,
ウィリアム ティー. ウィーバー,
ロバート ブレント ヴォパト,
ロバート ブレント ヴォパト,
ジョゼフ ユドフスキー,
ジョゼフ ユドフスキー,
ジェーソン エム. シャーラー,
ジェーソン エム. シャーラー,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2018518056A publication Critical patent/JP2018518056A/ja
Application granted granted Critical
Publication of JP6802191B2 publication Critical patent/JP6802191B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • C23C16/45548Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction
    • C23C16/45551Atomic layer deposition [ALD] characterized by the apparatus having arrangements for gas injection at different locations of the reactor for each ALD half-reaction for relative movement of the substrate and the gas injectors or half-reaction reactor compartments
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68764Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a movable susceptor, stage or support, others than those only rotating on their own vertical axis, e.g. susceptors on a rotating caroussel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68785Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the mechanical construction of the susceptor, stage or support
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68792Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by the construction of the shaft

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Vapour Deposition (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

[0001]本開示は、概して、サセプタアセンブリを位置付けし且つ/又は回転させるための装置及び方法に関する。より具体的には、本開示の実施形態は、多軸のバッチ処理サセプタアセンブリ(batch processing susceptor assembly)を移動させるための装置及び方法を対象としている。
[0002]幾つかのバッチ処理チャンバは、処理用ウエハを十分な数保持するために直径が比較的大きいサセプタ(1m以上)を有する。サセプタは、注入プレートに近接(3mmから0.5mm)して回転させられる。注入プレートは、直径が大きい別のディスク状構成要素である。堆積処理を制御するために、これらの構成要素間の平行度が調整される。現状では、これらの構成要素は手動で位置付けされる。これには、3時間ほどかかる。平行度は、サセプタの温度及びチャンバの圧力に関連して変化する。したがって、厳密なクリアランス及び変化する処理パラメータの影響に対応するため、平行度を位置合わせし且つ制御するための装置及び方法が必要とされている。
[0003]本開示の1つ又は複数の実施形態は、サセプタを支持し得るシャフトと、位置付けシステムとを備えているサセプタアセンブリを対象とする。位置付けシステムは、底部プレートと、上部プレートと、底部プレートと上部プレートとの間で、底部プレート及び上部プレートと接触するように位置付けされた少なくとも3つのアクチュエータとを備えている。アクチュエータのそれぞれは、本体と、本体の内部に位置付けされたロッド端部を備えたロッドを有する。上部プレートを底部プレートに近づけるため又は底部プレートから遠ざけるために、各ロッドは、本体の軸に沿って可動である。
[0004]本開示のさらなる実施形態は、貫通する開口を有する底部を有する真空チャンバを備えた処理チャンバを対象とする。サセプタアセンブリは、サセプタを支持し得るシャフトと、位置付けシステムとを備えている。位置付けシステムは、底部プレートと、上部プレートと、底部プレートと上部プレートとの間で、底部プレート及び上部プレートと接触するように位置付けされた少なくとも3つのアクチュエータとを備えている。アクチュエータのそれぞれは、本体と、本体の内部に位置付けされたロッド端部を備えたロッドとを有する。上部プレートを底部プレートに近づけるため又は底部プレートから遠ざけるために、各ロッドは、本体の軸に沿って可動である。シャフトが真空チャンバの底部における開口を通って延在するように、サセプタアセンブリは位置付けされている。サセプタは、真空チャンバ内のシャフトの上部に接続されている。
[0005]本開示のさらなる実施形態は、貫通する開口を有する底部を有する真空チャンバを備えた処理チャンバを対象とする。シャフトは、開口を通って延在し、真空チャンバの内部でサセプタを支持する。軸受アセンブリは、シャフトの周りに位置付けされ且つシャフトと真空チャンバとの間に密封を形成する球状ローラ軸受を含む。
[0006]本開示の上述の特徴を詳細に理解することができるように、上記で簡単に要約された本開示のより具体的な説明は、実施形態を参照することによって、得ることができる。そのうちの幾つかの実施形態は添付の図面で例示されている。しかしながら、本開示は他の等しく有効な実施形態も許容し得るため、添付の図面は、本開示の典型的な実施形態のみを示しており、したがって、本発明の範囲を限定すると見なすべきではないことに留意されたい。
本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの断面図を示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバにおいて使用される、くさび型ガス分配アセンブリの一部の概略図を示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの概略図を示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態で使用されるv−ブロックの斜視図を示す。 本開示の1つ又は複数の実施形態に係る球状ロッド端部を有する線形アクチュエータを示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態に係るバッチ処理チャンバの部分斜視図を示す。 本開示の1つ又は複数の実施形態に係る球状軸受アセンブリの部分断面図を示す。 本開示の1つ又は複数の実施形態に係る、使用中の球状軸受アセンブリの部分断面図を示す。 本開示の1つ又は複数の実施形態に係る球状軸受の部分断面図を示す。
[0020]本開示の幾つかの例示的な実施形態が説明される前に理解するべきことは、本開示が以下の説明で提示される構成又はプロセスステップの詳細に限定されないということである。本開示は、他の実施形態も可能であり、様々な方法で実施又は実行することができる。記載された実施形態及び図面は、例示のみを意図しており、開示された装置又は方法を限定するものと解釈すべきではない。
[0021]本明細書で使用される「基板」とは、製造処理中に膜処理が実行される任意の基板又は基板上に形成された材料表面のことを指す。例えば、処理が実施され得る基板表面には、用途に応じて、ケイ素、酸化ケイ素、ストレインドシリコン、シリコン・オン・インシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたケイ素、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料、並びに金属、金属窒化物、金属合金、及びその他の導電材料などの任意の他の材料が含まれる。基板は半導体ウエハを含むが、それに限定されるわけではない。基板表面を研磨、エッチング、還元、酸化、ヒドロキシル化、アニール、且つ/又はベークするために基板を前処理プロセスに曝してもよい。基板自体の表面上で直接膜処理することに加えて、本開示では、開示された任意の膜処理ステップは、以下でより詳細に開示される基板上に形成された下層にも実施され得る。「基板表面」という用語は、文脈が示すように、このような下層を含むことが意図されている。ゆえに、例えば、膜/層又は部分的な膜/層が基板表面上に堆積されている場合、新たに堆積された膜/層の曝露面が基板表面となる。
[0022]1つ又は複数の実施形態によると、装置及び方法は、原子層堆積(ALD)プロセスと共に使用され得る。かかる実施形態では、基板表面は、連続して又は実質的に連続して、前駆体(又は反応性ガス)に曝露される。本明細書全体において、「実質的に連続的に(substantially sequentially)」とは、前駆体曝露の期間の大部分が共試薬への曝露と重複しない(ただし、幾らかの重複はあり得る)ことを意味する。本明細書及び特許請求の範囲において、「前駆体(precursor)」、「反応体(reactant)」、「反応性ガス(reactive gas)」などの用語は、基板表面と反応し得る任意のガス種を表すために、互換可能に使用される。
[0023]記載された様々な実施形態は、多軸運動が用いられる任意の種類のシステムで利用することができる。説明のために、実施形態は、空間的ALDバッチ処理チャンバとの使用において示されている。当業者であれば、装置及び方法は、他の環境又は他の処理チャンバにおける使用に適合され得ることを理解するであろう。それには、例えば、時間領域ALD処理チャンバ、化学気相堆積チャンバがある。
[0024]図1は、ガス分配アセンブリ120(インジェクタ又はインジェクタアセンブリとも呼ばれる)、及びサセプタアセンブリ140を含む、バッチ処理チャンバ100の断面を示す。ガス分配アセンブリ120は、処理チャンバ内で使用される、任意の種類のガス供給デバイスである。ガス分配アセンブリ120は、サセプタアセンブリ140に対面する正面121を含む。正面121は、サセプタアセンブリ140に向けてガスの流れを供給するための、任意の数の開口又は様々な開口を有し得る。ガス分配アセンブリ120は、外周縁124も含む。外周縁124は、図示の実施形態では、実質的に円形である。
[0025]使用される特定の種類のガス分配アセンブリ120は、使用中の特定の処理に応じて変化し得る。本開示の実施形態は、サセプタとガス分配アセンブリとの間の間隙が制御される、任意の種類の処理システムと共に使用され得る。2成分反応においては、複数のガスチャネルは、少なくとも1つの第1の反応性ガスAのチャネル、少なくとも1つの第2の反応性ガスBのチャネル、少なくとも1つのパージガスPのチャネル、及び/又は少なくとも1つの真空Vのチャネルを含み得る。第1の反応性ガスAの1つ又は複数のチャネル、第2の反応性ガスBの1つ又は複数のチャネル、及びパージガスPの1つ又は複数のチャネルから流れるガスは、ウエハの上面に向けて方向付けられる。ガス流の一部は、ウエハの表面にわたって水平に移動し、パージガスPの1つ又は複数のチャネルを通って処理領域から出る。
[0026]幾つかの実施形態では、ガス分配アセンブリ120は、単一のインジェクタユニットで作られた剛性の固定体である。1つ又は複数の実施形態では、ガス分配アセンブリ120は、図2に示すように、複数の個別のセクタ(例えば、インジェクタユニット122)で構成されている。単片体又は複数のセクタ体のいずれであっても、記載された本開示の様々な実施形態と共に使用することができる。
[0027]サセプタアセンブリ140は、ガス分配アセンブリ120の下方に位置付けされている。サセプタアセンブリ140は、上面141、及び上面141における少なくとも1つの凹部142を含む。サセプタアセンブリ140は、底面143及び端部144も有する。凹部142は、処理される基板60の形状及びサイズに応じて、任意の適切な形状及びサイズであり得る。図1に示す実施形態では、凹部142は、ウエハの底部を支持するために平らな底部を有しているが、凹部の底部は変化し得る。幾つかの実施形態では、凹部の外周縁の周りには、ウエハの外周縁を支持するよう寸法形成された段差領域がある。この段差によって支持されるウエハの外周縁の面積は、例えば、ウエハの厚さと、ウエハの背面に既にあるフィーチャの存在とに応じて変動し得る。
[0028]幾つかの実施形態では、図1に示すように、サセプタアセンブリ140の上面141における凹部142は、凹部142において支持される基板60が、サセプタ140の上面141と実質的に同一平面の上面61を有するように、寸法形成される。本明細書及び添付の特許請求の範囲で使用される場合、「実質的に同一平面」という表現は、ウエハの上面とサセプタアセンブリの上面が、±0.5mm、±0.4mm、±0.3mm、±0.25mm、±0.2mm、±0.15mm、±0.10mm、又は±0.05mm内で同一平面にあることを意味する。
[0029]図1のサセプタアセンブリ140は、サセプタアセンブリ140を上昇、下降、及び回転させることが可能なシャフト160を含む。サセプタアセンブリは、シャフト160の中央内部にヒータ又はガスラインを含み得る。シャフト160は、サセプタアセンブリ140とガス分配アセンブリ120との間の間隙を広げたり狭めたりして、サセプタアセンブリ140を適切な位置へと移動させる、主な手段であり得る。サセプタアセンブリ140は、さらに微調整アクチュエータ162を含み得る。微調整アクチュエータ162は、サセプタアセンブリ140とガス分配アセンブリ120との間に所定の間隙170を生じるように、サセプタアセンブリ140に対して微小な調整を行うことができる。幾つかの実施形態では、間隙170の距離は、約0.1mm〜約5.0mmの範囲内、又は約0.1mm〜約3.0mmの範囲内、又は約0.1mm〜約2.0mmの範囲内、又は約0.2mm〜約1.8mmの範囲内、又は約0.3mm〜約1.7mmの範囲内、又は約0.4mm〜約1.6mmの範囲内、又は約0.5mm〜約1.5mmの範囲内、又は約0.6mm〜約1.4mmの範囲内、又は約0.7mm〜約1.3mmの範囲内、又は約0.8mm〜約1.2mmの範囲内、又は約0.9mm〜約1.1mmの範囲内であるか、或いは約1mmである。
[0030]図に示す処理チャンバ100は、内部でサセプタアセンブリ140が複数の基板60を保持し得る、カルーセル型チャンバである。図2に示しているように、ガス分配アセンブリ120は、複数の個別のインジェクタユニット122を含んでよく、各インジェクタユニット122は、ウエハがインジェクタユニットの下方で移動するにつれてウエハ上に膜を堆積させることが可能である。2つのパイ型インジェクタユニット122が、サセプタアセンブリ140のほぼ両側に、サセプタアセンブリ140の上に位置付けされているように示されている。インジェクタユニット122の数は、例示目的のためにのみ示されている。インジェクタユニット122の数は、より多くてもよく、又はより少なくてもよいことを理解されたい。幾つかの実施形態では、サセプタアセンブリ140の形状に適合する形状を形成するのに十分な数のパイ型インジェクタユニット122が存在する。幾つかの実施形態では、個々のパイ型インジェクタユニット122は、それぞれ、他のインジェクタユニット122のいずれにも影響を与えることなく、個別に移動、取り外し、且つ/又は交換することができる。例えば、ロボットがサセプタアセンブリ140とガス分配アセンブリ120との間の領域にアクセスして、基板60をローディング/アンローディングすることを可能にするために、1つのセグメントを上昇させてもよい。
[0031]複数のウエハを同時に処理するために、複数のガスインジェクタを有する処理チャンバを使用することができ、それにより、複数のウエハが同じプロセスの流れを経る。例えば、図3に示すように、処理チャンバ100は、4つのガスインジェクタアセンブリ及び4つの基板60を有する。処理開始時に、基板60は、インジェクタアセンブリ30間に位置付けされ得る。サセプタアセンブリ140を45°回転させること17により、ガス分配アセンブリ120間にある各基板60が、膜堆積のために、(ガス分配アセンブリ120の下方の点線円で示されているように)ガス分配アセンブリ120の方に移動させられる。さらに45°回転させると、基板60はインジェクタアセンブリ30から離れるように移動する。空間的ALDインジェクタを用いて、インジェクタアセンブリに対してウエハが移動している間に、ウエハ上に膜が堆積される。幾つかの実施形態では、サセプタアセンブリ140は増分で回転し、基板60がガス分配アセンブリ120の下方で停止することが防止される。基板60の数とガス分配アセンブリ120の数は、同じであってもよく、又は異なっていてもよく。幾つかの実施形態では、ガス分配アセンブリと同じ数の処理されるウエハがある。1つ又は複数の実施形態では、処理されるウエハの数は、ガス分配アセンブリの数の分数又は整数倍になる。例えば、4つのガス分配アセンブリが存在する場合、4xの処理されるウエハが存在し、ここでxは1以上の整数値である。
[0032]図3に示す処理チャンバ100は、実行可能な一構成を表しているに過ぎず、本開示の範囲を限定していると見なすべきではない。ここでは、処理チャンバ100は複数のガス分配アセンブリ120を含む。図示している実施形態では、処理チャンバ100の周囲に均等に離間した4つのガス分配アセンブリ(インジェクタアセンブリ30とも呼ばれる)が存在する。図示の処理チャンバ100は八角形であるが、当業者であれば、これは可能な一形状であり、本開示の範囲を限定すると見なすべきではないことを理解されよう。図示のガス分配アセンブリ120は台形であるが、単一の円形構成要素であってもよく、又は、図2に示すように、複数のパイ型セグメントで構成されてもよい。
[0033]図3に示す実施形態は、ロードロックチャンバ180、又は、バッファステーションのような補助チャンバを含む。このチャンバ180は、処理チャンバ100の側面に接続され、それにより、例えば、基板(基板60とも呼ばれる)がチャンバ100にローディング/チャンバ100からアンローディングされることが可能となる。基板をサセプタ上に移動させるため、ウエハロボットがチャンバ180内に配置されてもよい。
[0034]カルーセル(例えば、サセプタアセンブリ140)の回転は、連続的であってもよく、又は非連続的であってもよい。連続処理においては、ウエハは常に回転しており、それぞれのインジェクタに順に曝露される。非連続処理においては、ウエハは、インジェクタ領域に移動して停止し、次いで、インジェクタ間の領域84に移動して停止し得る。例えば、ウエハがインジェクタ間領域からインジェクタを越えて移動し(又は、インジェクタに隣接して停止し)、引き続き次のインジェクタ間領域へと移動し、そこでカルーセルが再度停止し得るように、カルーセルは回転し得る。インジェクタ間で止まることにより、各層の堆積と堆積との間に、追加の処理ステップ(例えば、プラズマへの曝露)のための時間が与えられ得る。
[0035]図4は、インジェクタユニット122と呼ばれ得る、ガス分配アセンブリ220のセクタ又は一部を示す。インジェクタユニット122は、個別に、又は他のインジェクタユニットと組み合わせて、使用することができる。例えば、図5に示しているように、図4のインジェクタユニット122が4つ組み合わされて、単一のガス分配アセンブリ220が形成される。(分かりやすくするために、4つのインジェクタユニットを分ける線は示されていない。)図4のインジェクタユニット122は、パージガスポート155及び真空ポート145に加えて、第1の反応性ガスポート125と第2の反応性ガスポート135の両方を有しているが、インジェクタユニット122には、これらの構成要素の全てが必要なわけではない。
[0036]図4と図5の両方を参照すると、1つ又は複数の実施形態に係るガス分配アセンブリ220は、複数のセクタ(又はインジェクタユニット122)を備えてもよく、各セクタは全く同一であるか、又は異なっている。ガス分配アセンブリ220は、処理チャンバの中に位置付けされ、且つ、ガス分配アセンブリ220の前面121において複数の細長いガスポート125、135、155と、真空ポート145とを備えている。複数の細長いガスポート125、135、155、及び真空ポート145は、内周縁123に隣接した領域から、ガス分配アセンブリ220の外周縁124に隣接した領域に向かって延在する。図示の複数のガスポートは、第1の反応性ガスポート125、第2の反応性ガスポート135、第1の反応性ガスポートと第2の反応性ガスポートそれぞれを取り囲む真空ポート145、及びパージガスポート155を含む。
[0037]図4又は図5に示す実施形態を参照した場合、ポートが少なくとも内周領域周辺から少なくとも外周領域周辺まで延在すると述べたとしても、ポートは、単に内側領域から外側領域まで径方向に延在するだけではないことがある。真空ポート145が反応性ガスポート125及び反応性ガスポート135を取り囲んでいるように、ポートは、接線方向に延在し得る。図4及び図5に示す実施形態では、くさび型反応性ガスポート125、135は、真空ポート145によって、内周領域及び外周領域に隣接する端部を含むすべての端部が囲まれている。
[0038]図4を参照すると、基板が経路127に沿って移動するにつれて、基板表面の各部分が様々な反応性ガスに曝露される。経路127を辿ると、基板は、パージガスポート155、真空ポート145、第1の反応性ガスポート125、真空ポート145、パージガスポート155、真空ポート145、第2の反応性ガスポート135、そして、真空ポート145に曝露されるか、又はそれらに「遭遇」する。ゆえに、図4に示す経路127の終わりで、基板は、第1の反応性ガスポート125及び第2の反応性ガスポート135からのガス流に曝露され、層が形成される。図示のインジェクタユニット122は四分円をなしているが、より大型であってもよく、又はより小型であってもよい。図5に示すガス分配アセンブリ220は、連続的に接続された、4つの図4のインジェクタユニット122の組み合わせであると見なすことができる。
[0039]図4のインジェクタユニット122は、複数の反応性ガスを分離させるガスカーテン150を示す。「ガスカーテン」という用語は、混合しないように反応性ガスを分離させるガス流又は真空の任意の組み合わせを説明するために使用される。図4に示すガスカーテン150は、真空ポート145の第1反応性ガスポート125に隣り合った部分、中間のパージガスポート155、及び真空ポート145の第2の反応性ガスポート135に隣り合った部分を含む。ガス流と真空とのこの組み合わせは、第1の反応性ガスと第2の反応性ガスとの気相反応を防止又は最小化するために使用され得る。
[0040]図5を参照すると、ガス分配アセンブリ220からのガス流と真空との組み合わせは、複数の処理領域250の分離を形成する。処理領域は、250同士の間のガスカーテン150を用いて、個々の反応性ガスポート125、135の周囲に大まかに画定されている。図5に示す実施形態は、8つの別個のガスカーテン150を間に有する、8つの別個の処理領域250を構成する。処理チャンバは、少なくとも2つの処理領域を有し得る。幾つかの実施形態では、少なくとも3、4、5、6、7、8、9、10、11、又は12個の処理領域が存在する。
[0041]処理中、基板は、どの時点においても、2つ以上の処理領域250に露出され得る。しかしながら、種々の処理領域に曝露されている部分では、ガスカーテンが2つの部分を分離するようになる。例えば、基板の先端部が第2の反応性ガスポート135を含む処理領域に入る場合、基板の中央部はガスカーテン150の下方にあり、且つ、基板の後端部は、第1の反応性ガスポート125を含む処理領域の中に留まることになる。
[0042]処理チャンバ100に接続された、例えばロードロックチャンバであり得るファクトリインターフェース280が図示されている。参照フレームを提供するために、基板60は、ガス分配アセンブリ220に重ね合わせて図示されている。基板60は、多くの場合、サセプタアセンブリ上に置かれて、ガス分配アセンブリ120(ガス分配プレートとも呼ばれる)の前面121付近で保持され得る。基板60は、ファクトリインターフェース280を介して、処理チャンバ100内の基板支持体又はサセプタアセンブリ上にローディングされる(図3参照)。基板60は、処理領域内に位置付けされているように示され得るが、それは、この基板が、第1の反応性ガスポート125に隣接して、且つ、2つのガスカーテン150a、150bの間に配置されているからである。基板60を経路127に沿って回転させることにより、この基板は、処理チャンバ100をぐるりと反時計回りに移動することになる。ゆえに、基板60は、第1の処理領域250aから第8の処理領域250hまでの全ての処理領域に曝露されることになる。図示のガス分配アセンブリを使用する、処理チャンバを回る各サイクルでは、基板60は、第1の反応性ガス及び第2の反応性ガスの4つのALDサイクルに曝露されることになる。
[0043]4つの運動軸を有する精密線形位置付けシステムは、サセプタをガスインジェクタの近傍に位置付けるために使用される。このことは、図6で確認することができる。位置付けシステムは、3つの均等に離間された線形アクチュエータを有する底部プレートを使用して構築され得る。線形アクチュエータは、底部プレートの表面に対して直角をなして強固に取り付けられる。各アクチュエータは、精密な上下運動をもたらすことができ、4自由度(4−DOF)ジョイントを用いて上部プレートに連結される。図8A及び図8Bで示されているように、幾つかの実施形態では、4−DOFジョイントは、線形軸受に取り付けられた球状のロッドを含み得る。幾つかの実施形態では、4−DOFジョイントは、ピッチ、ヨー、ロール、及び上部プレートの中心と位置合わせされた1つの線形自由度をもたらす、運動学的な連結フィーチャ(図9参照)を含む。サセプタのための回転アクセスは、4番目の運動軸の処理及び追加のために、上部プレートに組み込まれ得る。1つ又は複数の実施形態では、システムは、0.005インチ未満の位置反復性を与える。
図6は、本開示の1つ又は複数の実施形態に係るサセプタアセンブリが組み込まれた処理チャンバを示す。サセプタアセンブリ340は、サセプタ341を支持し得るシャフト160を含む。サセプタ340は、平坦なプレートとして示されているが、さらに図2で示されているような凹部又はポケットを含み得る。
[0045]再度図6に戻ると、位置付けシステム300は、サセプタ341を動かすためにシャフト160と連通する。ここで使用されている「連通」という用語は、構成要素のうちの少なくとも1つが、別の構成要素の位置に影響を与えることが可能であるか、又は、別の構成要素と直接的又は間接的に接触することを意味する。幾つかの実施形態の位置付けシステム300は、z軸(すなわち、図面の上下)に沿って、x軸又はy軸に沿って、サセプタ341を動かし、サセプタ341をガス分配アセンブリ320に対して傾斜させることができる。
[0046]図6の位置付けシステム300は、底部プレート301、上部プレート302、及び少なくとも3つのアクチュエータ310を備えている。それぞれのアクチュエータ310は、底部プレート301と上部プレート302との間で、底部プレート301及び上部プレート302と接触するように位置付けされている。それぞれのアクチュエータ310は、本体311、及び本体311内で可動なロッド端部313を備えたロッド312を有する。本体から延在するロッドの長さが変動可能であるように、それぞれのロッド312は本体内で摺動可能に可動である。したがって、上部プレート302を底部プレート301に近づけるため又は底部プレート301から遠ざけるために、ロッド312を本体311の軸に沿って動かすことができる。本明細書では、サセプタ341をガス分配アセンブリ320に近づける運動又はガス分配アセンブリ320から遠ざける運動は、z軸に沿った運動と呼ばれる。
[0047]図6で示された実施形態は、それぞれのアクチュエータが接触しているv‐ブロック316を含む。図8A及び図8Bは、v‐ブロック316の拡大図を示す。v‐ブロック316内の溝317は、上部プレート302の中心に対して径方向に位置合わせされる。ここで使用されている上部プレート302の「中心」とは、アクチュエータ及びシャフトに関連する運動の中心を意味する。上部プレートの形状は、中心周囲で同心円状であってもよく、又は不規則であり得る。運動の中心との径方向の位置合わせにより、ロッド312の端部313が、v‐ブロックの溝317に沿って画定された長さに沿って、中心に向かって且つ中心から離れるように、摺動することが可能となる。
[0048]幾つかの実施形態では、v‐ブロック316は、v‐ブロック316のいずれかの端部又は両端部に位置付けされた端部プレート318をさらに備えている。v‐ブロック316は、端部プレート318がv‐ブロック316の外端部にあるように位置付けすることができる。それにより、ロッド312の端部313の運動は、端部プレート318を越えて中心からさらに出ることはできない。
[0049]図6に示す実施形態は、ロッド312の端部313と上部プレート302との間に機械的接続がないように、重力により支持される。幾つかの実施形態では、底部プレート301と上部プレート302との間に機械的接続がある。例えば、図8A及び図8Bは、各アクチュエータ310が線形軸受379と接触している、機械的に接続されたシステムを示す。図8Aは、ロッド312が本体311の上部から延在している状態のアクチュエータ310の前面図を示す。図示の実施形態では、ロッド端部313は、ソケット375と接続するための球状軸受374を有する。ここで使用されている「球状」とは、ロッドの端部が凸面を有することを意味し、完全な球体を示唆するものではない。球状軸受374の凸面の目的は、ソケット375の凹部376と協働して相互作用することである。球状軸受374とソケット375との協働的相互作用により、軸受とソケットを位置合わせし、ロッド312が移動するにつれて角度を変えることが可能となる。ソケット375は、ブラケット377を有し、ブラケットを通してチャネル378が設けられている。図8Bは、図8Aのアクチュエータの側面図を示している。ブラケット377のチャネル378は、線形軸受379と協働的に相互作用し得る。図6のv‐ブロック316と同じように、線形軸受379は、上部プレート302に接続され得るか、又は、上部プレート302と一体的に形成され得る。上部プレート302の運動の中心に対して線形軸受379を径方向に位置合わせすることができる。ロッド312の運動により、上部プレート302が傾斜し、ブラケット377が、線形軸受379の長さ(すなわち、細長い軸)に沿って摺動する。工程のいかなる特定の理論にも拘束されないが、ロッド端部313が、v‐ブロック316、線形軸受379、又はその他の軸受型構成要素のいずれかに沿って摺動することを可能にすることにより、構成要素に対する応力が最小限となると考えられている。幾つかの実施形態の軸受は、適切な範囲の運動を可能にし、支持された要素を確実に保持し、係合解除(v‐ブロックの垂直上昇)することなく、要素の反転を可能にする。
[0050]各アクチュエータの組み合わされた運動及び位置は、この実施形態では、サセプタを位置付けするための精密なピッチ、ロール、及びz運動をもたらす。この運動により、使用される運動アクチュエータの分解能及び精度に応じて、サセプタを非常に厳しい許容誤差までインジェクタアセンブリに位置合わせすることができる。幾つかの実施形態では、この運動により、サセプタを約0.05、0.045、0.04、0.035、0.03、0.025、0.02、0.015、0.01、又は0.005インチ未満までインジェクタアセンブリに位置合わせすることができる。位置付けシステム300によってもたらされる運動は、ベローズ又はリップシールのいずれかを用いて、真空チャンバ内への垂直アクチュエータ配列の統合を可能にする。従来のシステムでは、底部プレートにある蝶番式のアクチュエータによりアクチュエータシャフト全体が複数の方向へと動き、それゆえに真空フィードスルーの設計が困難である。
[0051]再度図6を参照すると、位置付けシステム300は、真空チャンバ101の外部に配置され得る。ここで、真空チャンバ101の底部には、底部を貫通する開口102がある。シャフト160は、開口102を通過し、真空チャンバ101内でサセプタ341を支持し、位置付けシステム300の上部プレート302と連通するか、又は接続する。
[0052]真空チャンバ101で気体密封を維持するためにベローズ382が含まれ得る。図6のベローズ382は、上部プレート302と真空チャンバ101とを接続するか、又は接触させる。z軸に沿ってシャフト160が移動すると、真空チャンバ101内の真空を壊すことなくベローズ382が膨張又は収縮する。ここではベローズが図示されているが、当業者であれば、他の密封機構を利用することができることを理解するであろう。それには、例えば、リップシール、磁気カップリング、又は真空内の直線運動軸を密封するための任意の他の方法がある。
[0053]図9は、位置付けシステムが真空チャンバ101の内部に配置されている別の実施形態を示す。ここでは、シャフト160は、位置付けシステム300の上部プレート302及び底部プレート301を貫通して延在する。位置付けシステム300は、真空チャンバの内部に完全に配置されてもよく、又は、真空チャンバの内部に部分的に配置されてもよい。気体密封を維持しながらシステム300の一部が真空チャンバ101内の開口を通過し得ることを示すため、図9では、ベローズ382は底部プレート301の下方にあるように示されている。図9で示された実施形態は、底部プレート301の下方のアクチュエータシール319を含む。アクチュエータシール319は、気体密封を維持しながら、アクチュエータの運動のための十分な空間を設けることができる。
[0054]図10は、例えば、サセプタをガスインジェクタプレートの近傍に位置付けるために使用し得る、4つの運動軸を有する精密線形位置付けシステム400の別の実施形態を示す。このシステムは、真空チャンバ101に取り付けられた球状ローラ軸受390を使用して構築することができる。ローラ軸受390は、機械的軸受又は空気軸受であり得る。ローラ軸受390は、z軸の周りの回転、x軸の周りの回転、及びy軸の周りの回転のための軸受支持をもたらす。回転式リップシールのx軸、y軸、及びz軸の周りの回転を可能にしながら、処理環境と雰囲気との間のバリアを設けるために、ベローズシールとも呼ばれるベローズ382が、ローラ軸受390の下方に含まれ得る。幾つかの実施形態では、ベローズの下方の段階的真空リップシール(図示せず)が、z軸(シータ回転)の周りの回転運動のための真空分離バリアを設ける。回転式モータ(シータモータ355とも呼ばれる)は、リップシールと一体化し、且つ、負荷を支持し起動するx、y、及びzステージ420のための設置点を設けるために、位置付けシステム400のフレーム410に取り付けられてもよい。サセプタ341をインジェクタプレートに位置合わせする精密な運動をもたらすため、シータモータ355/リップシールを備えたフレーム410をx、y、及びzステージ420に取り付けてもよい。
[0055]図6、図9、及び図10に示すシータモータ355は、サセプタ341を回転させるために、シャフト160を回転させる。シータモータ355は、重たい構成要素を正確に且つ一貫して回転させることができる任意の適切なモータであってもよい。
[0056]図10に示すフレーム410は、上部プレート302及び底部プレート301を含む。上部プレート及び底部プレートは、複数の支持ロッド411によって接続される。上部プレート302と底部プレート301との間の距離は、それらの間に配置された構成要素の大きさに応じて、任意の適切な距離であり得る。例えば、図10に示す実施形態では、上部プレート302と底部プレート301との間の最小距離は、シータモータ355が占有する空間量である。
[0057]図示のステージ420は、x軸モータ、y軸モータ、及びz軸モータの組み合わせから成り立つ。X軸運動は、上部に摺動可能なプラットフォーム422を有するx軸トラック421を用いて行われ得る。プラットフォーム422は、サセプタを傾斜させるためにx軸トラック421の長さに沿って移動し得る。真空チャンバ101内でシャフト160が開口102を通過する点は、ほとんど固定された点として作用し、それにより、プラットフォーム422を動かすことにより、サセプタが開口位置の周りで旋回する。y軸運動は、上部に摺動可能なプラットフォーム426を有するy軸トラック424を用いて行われ得る。プラットフォーム426は、x軸に対して垂直な軸においてサセプタを傾斜させるためにy軸トラック424の長さに沿って移動し得る。z軸運動は、z軸に沿って移動するアクチュエータ429に接続されたz軸モータ428を使用して行なわれ得る。アクチュエータ429は、図10で示されたようなプレートを使用してフレーム410に機械的に連結され得る。幾つかの実施形態では、アクチュエータ429は、機械的締め具がない状態で、摩擦相互作用によってフレーム410に係合する。ステージ420は、図示されたような、又は、限定しないが、円弧形状トラックを含むその他の形状の、積層された線形トラックを有し得る。ステージ420は、限定しないが、三脚、六脚を含む、他の種類の多軸構成要素であってもよい。
[0058]本開示の1つ又は複数の実施形態は、真空分離及びサセプタの4−DOF運動を組み込む。この運動は、x軸の周りの回転、y軸の周りの回転、z軸における移動、及びz軸の周りの回転を含む。幾つかの実施形態のステージは、荷重に対してほとんど垂直であるキャリッジのスタックの底部にある荷重を取り扱うことができるように位置付けされる。したがって、幾つかの実施形態は、単一構成要素で軸受支持、運動、及び真空分離をもたらす。この単一構成要素は、単純で信頼性のある統合のために容易に分離され得る。
[0059]図11を参照すると、幾つかの実施形態は、ベローズ382と真空チャンバ101との間に軸受アセンブリ440を含む。図示の軸受アセンブリは、リップシール442(又は段階的真空)、リップシール442に接続されたベローズ382、及びベローズ382と真空チャンバ101との間の接続プレート444を含む。軸受アセンブリ440は、真空チャンバ101の内部と雰囲気との間に真空密封を生成する。領域445は、真空チャンバ101と同じ圧力又は異なる圧力の下にあってもよく、任意の漏れが真空チャンバ101に確実に影響を与えないように、段階的真空も含み得る。
[0060]軸受アセンブリ440は、シャフト160の周りに位置付けされ且つシャフト160と真空チャンバ101との間に密封を形成する球状ローラ軸受450を含む。球状ローラ軸受450は、真空チャンバ101の開口102において位置づけされる。球状ローラ軸受450は、2つの主要な構成要素を有する。それは、内部リング452と外部リング454である。シャフト160がz軸の周りで回転するとき、内部リング452も同様に回転する。シャフト160の回転に対する回転量は、内部リング452の種類に応じて、完全停止状態(すなわち、無回転)からシャフトの回転速度に達するまでの任意の回転量であり得る。幾つかの実施形態では、内部リング452は、シャフト160と同じ速度で回転する。外部リング454は、所定位置に固定されたままであり、内部リング452がx−y面、すなわち、z軸の周りで回転することを可能にする。さらに、外部リング454は、サセプタ(図示せず)が傾斜するにつれて、内部リング452がx−z面及びy−z面で回転することを可能にすることができ、外部リング454の主要面に対して垂直ではない方向でシャフト160が外部リング454を通過することを可能にする。図12Aは、シャフトが外部リング454の面に対して垂直に延在する状態の球状ローラ軸受450の部分図を示す。図12Bは、シャフト160が外部リング454の面に対してもはや垂直でないように、x−z面で傾斜する球状ローラ軸受450の部分図を示す。種々の構成要素を描写するためにクロス八チングが使用されているが、必ずしも個々の構成要素を構成する材料を指すわけではない。例えば、内部リング、外部リング、及びシャフトをすべてアルミニウムから製作することができ、又は、各構成要素は異なる材料であってもよい。図示の外部リング454は、接続プレート444内の間隙456内に位置付けされる。間隙456は、外部リングを確実に保持し、且つ、領域445と真空チャンバ101の内部との間のガス漏れを抑止又は最小化するように寸法形成され得る。
[0061]幾つかの実施形態では、シャフトが上昇又は下降する際にリップシール442がz軸に沿ってシャフト160と共に移動するように、リップシール442はシャフト160上の固定位置にある。真空チャンバ101の底部とリップシール442との間に真空密封を維持するために、ベローズ382は膨張且つ収縮する。リップシール442は、z軸の周りでシャフト160が回転することを可能にする。
[0062]図13は、内部リング452と外部リング454の両方が平面ではなく半円形である別の球状ローラ軸受450を示す。他の球状ローラ軸受のように、内部リング及び外部リングの形状及び大きさに応じて、外部リング454内の内部リング452の傾斜が変動する。図13の実施形態では、シャフト160に適用することができる傾斜の度合いは、外部リング内の開口458の大きさに左右される。
[0063]使用に適したローラ軸受は、限定しないが、機械的軸受、空気軸受、x軸、y軸、及びz軸の周りの回転、並びにz軸に沿った移動を支持する軸受を含む。段階的真空又はリップシールは、内部リング452と外部リング454との間、及び内部リング452とシャフト160との間で使用され得る。これにより、回転を依然として可能にしながらも、真空バリアを設けることができる。
[0064]この明細書全体を通じて、「一実施形態」、「特定の実施形態」、「1つ又は複数の実施形態」、又は、「実施形態」に対する言及は、実施形態に関連して説明されている特定の特徴、構造、材料、又は特性が、本開示の少なくとも1つの実施形態に含まれることを意味する。ゆえに、この明細書全体の様々な箇所で「1つ又は複数の実施形態で」、「特定の実施形態で」、「一実施形態で」、又は「実施形態で」などの表現は、必ずしも、本開示の同一の実施形態に言及するものではない。さらに、特定の特徴、構造、材料、又は特性は、1つ又は複数の実施形態で任意の適切な様態で組み合わせることができる。
[0065]本明細書の開示は特定の実施形態を参照して説明されているが、これらの実施形態は本開示の原理及び用途の例示にすぎないことを理解されたい。本開示の精神及び範囲から逸脱することなく、本開示の方法及び装置に対して様々な改変及び変形を行い得ることが、当業者には明らかになろう。ゆえに、本開示は、添付の特許請求の範囲及びその均等物に含まれる改変例及び変形例を含むことが意図されている。

Claims (14)

  1. サセプタアセンブリであって、
    サセプタを支持し得るシャフト、並びに
    底部プレートと、上部プレートと、前記底部プレートと前記上部プレートとの間で、前記底部プレート及び前記上部プレートと接触するように位置付けされた少なくとも3つのアクチュエータとを備えている位置付けシステムを備え
    前記アクチュエータのそれぞれが、本体、及び前記本体の内部に位置付けされた第1のロッド端部を備えたロッドを有し、各ロッドが、前記上部プレートを前記底部プレートに近づけるため又は前記底部プレートから遠ざけるために、前記本体の軸に沿って摺動可能に可動であり、
    各アクチュエータが、前記上部プレートにあるv‐ブロックと接触し、各v‐ブロックが、前記上部プレートの中心に対して径方向に位置合わせされ且つ前記底部プレートに対向する溝を有し、それにより、前記ロッドの第2のロッド端部が前記溝の長さに沿って移動し得る、サセプタアセンブリ。
  2. 前記第2のロッド端部が摺動して前記v‐ブロックから出ることを抑止するために、前記v‐ブロックのそれぞれが、前記上部プレートの前記中心に対する前記v‐ブロックの外端部に位置付けされた端部プレートをさらに備えている、請求項に記載のサセプタアセンブリ。
  3. サセプタアセンブリであって、
    サセプタを支持し得るシャフト、並びに
    底部プレートと、上部プレートと、前記底部プレートと前記上部プレートとの間で、前記底部プレート及び前記上部プレートと接触するように位置付けされた少なくとも3つのアクチュエータとを備えている位置付けシステムを備え、
    前記アクチュエータのそれぞれが、本体、及び前記本体の内部に位置付けされた第1のロッド端部を備えたロッドを有し、各ロッドが、前記上部プレートを前記底部プレートに近づけるため又は前記底部プレートから遠ざけるために、前記本体の軸に沿って摺動可能に可動であり、
    各アクチュエータが、前記上部プレートにある線形軸受と接触し、各線形軸受が、前記上部プレートの中心に対して径方向に位置合わせされている、サセプタアセンブリ。
  4. 前記アクチュエータの前記ロッドの第2のロッド端部が、前記線形軸受上のソケットと接続するための球状軸受を有する、請求項に記載のサセプタアセンブリ。
  5. 処理チャンバであって、
    貫通する開口を有する底部を有する真空チャンバ、
    前記シャフトが前記真空チャンバの前記底部における前記開口を通って延在するように位置付けされた、請求項1からのいずれか一項に記載のサセプタアセンブリ、及び
    前記真空チャンバ内の前記シャフトの上部に接続されたサセプタ
    を備えている処理チャンバ。
  6. 前記底部プレートを前記真空チャンバに接続し、気体密封を形成するベローズをさらに備えている、請求項に記載の処理チャンバ。
  7. 前記ベローズと前記真空チャンバとの間に軸受アセンブリをさらに備えている、請求項に記載の処理チャンバ。
  8. 前記軸受アセンブリが、前記シャフトの周りに位置付けされ且つ前記シャフトと前記真空チャンバとの間に密封を形成する球状ローラ軸受を備えている、請求項に記載の処理チャンバ。
  9. 前記シャフトを回転させるためのシータモータをさらに備えている、請求項5から8のいずれか一項に記載の処理チャンバ。
  10. 処理チャンバであって、
    貫通する開口を有する底部を有する真空チャンバ、
    前記開口を通って延在するシャフトであって、前記真空チャンバの内部でサセプタを支持するシャフト、及び
    前記シャフトの周りに位置付けされ、前記シャフトと前記真空チャンバとの間に密封を形成する球状ローラ軸受を含む、軸受アセンブリ
    を備えている処理チャンバ。
  11. 前記軸受アセンブリが、ベローズ及びリップシールをさらに備え、前記ベローズが、前記リップシールを前記真空チャンバの前記底部に接続し、気密接続を形成する、請求項10に記載の処理チャンバ。
  12. 前記サセプタを上昇又は下降させると、z軸に沿って前記リップシールが前記シャフトと共に移動し、それにより、真空密封を維持するために前記ベローズが膨張又は収縮する、請求項11に記載の処理チャンバ。
  13. 底部プレート、上部プレート、並びに前記底部プレートと前記上部プレートの間に、前記底部プレート及び前記上部プレートと接触するように位置付けされた少なくとも3つのアクチュエータを備えている位置付けシステムをさらに備え、前記アクチュエータのそれぞれが、本体、及び前記本体の内部に位置付けされたロッド端部を備えたロッドを有し、各ロッドが、前記上部プレートを前記底部プレートに近づけるため又は前記底部プレートから遠ざけるために、前記本体の軸に沿って摺動可能に可動である、請求項10から12のいずれか一項に記載の処理チャンバ。
  14. 前記シャフトと連通しているステージであって、前記サセプタを傾斜、上昇、及び下降させるために、x軸、y軸、及びz軸に沿った運動をもたらすステージをさらに備えている、請求項10から12のいずれか一項に記載の処理チャンバ。
JP2017563068A 2015-06-05 2016-05-25 サセプタの位置付け及び回転装置、並びに使用の方法 Active JP6802191B2 (ja)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US201562171968P 2015-06-05 2015-06-05
US62/171,968 2015-06-05
US15/163,857 US10597779B2 (en) 2015-06-05 2016-05-25 Susceptor position and rational apparatus and methods of use
US15/163,857 2016-05-25
PCT/US2016/034039 WO2016196105A1 (en) 2015-06-05 2016-05-25 Susceptor position and rotation apparatus and methods of use

Publications (2)

Publication Number Publication Date
JP2018518056A JP2018518056A (ja) 2018-07-05
JP6802191B2 true JP6802191B2 (ja) 2020-12-16

Family

ID=57441596

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2017563068A Active JP6802191B2 (ja) 2015-06-05 2016-05-25 サセプタの位置付け及び回転装置、並びに使用の方法

Country Status (4)

Country Link
US (1) US10597779B2 (ja)
JP (1) JP6802191B2 (ja)
KR (1) KR20180006496A (ja)
WO (1) WO2016196105A1 (ja)

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
CN110785834B (zh) * 2017-06-21 2024-04-19 东京毅力科创株式会社 基板处理系统、基板处理方法以及计算机存储介质
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
CN107723683B (zh) * 2017-10-16 2020-06-23 江苏鲁汶仪器有限公司 化学气相沉积镀膜设备
TWI838222B (zh) * 2017-10-27 2024-04-01 美商應用材料股份有限公司 具有空間分離的單個晶圓處理環境
KR20190052533A (ko) 2017-11-08 2019-05-16 삼성전자주식회사 기판 지지 및 이송 장치, 기판 지지 및 이송 방법 및 이를 이용한 표시 장치의 제조 방법
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
SG11202003438QA (en) 2017-11-16 2020-05-28 Applied Materials Inc High pressure steam anneal processing apparatus
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11499666B2 (en) * 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
SG11202101649WA (en) * 2018-09-28 2021-04-29 Applied Materials Inc Coaxial lift device with dynamic leveling
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11355367B2 (en) 2019-07-12 2022-06-07 Applied Materials, Inc. Robot for simultaneous substrate transfer
US11574826B2 (en) 2019-07-12 2023-02-07 Applied Materials, Inc. High-density substrate processing systems and methods
US11443973B2 (en) 2019-07-12 2022-09-13 Applied Materials, Inc. Robot for simultaneous substrate transfer
JP2022540607A (ja) 2019-07-12 2022-09-16 アプライド マテリアルズ インコーポレイテッド 同時基板移送用ロボット
US11117265B2 (en) * 2019-07-12 2021-09-14 Applied Materials, Inc. Robot for simultaneous substrate transfer
EP4018473B1 (en) * 2019-08-19 2024-07-03 Oem Group, LLC Systems and methods for a lift and rotate wafer handling process
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
EP3905311A1 (de) 2020-04-27 2021-11-03 Siltronic AG Verfahren und vorrichtung zum abscheiden einer epitaktischen schicht auf einer substratscheibe aus halbleitermaterial
US20240176092A1 (en) * 2022-11-28 2024-05-30 Northrop Grumman Corporation Optical mount assembly with adjustment mechanism having a ball bearing

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6140031A (ja) * 1984-07-31 1986-02-26 Tokuda Seisakusho Ltd 真空処理装置
US5135349A (en) * 1990-05-17 1992-08-04 Cybeq Systems, Inc. Robotic handling system
US5373153A (en) 1993-01-04 1994-12-13 Motorola, Inc. Optoelectronic tilt detector having tapered floors extending in same direction
US5444217A (en) * 1993-01-21 1995-08-22 Moore Epitaxial Inc. Rapid thermal processing apparatus for processing semiconductor wafers
US5772773A (en) * 1996-05-20 1998-06-30 Applied Materials, Inc. Co-axial motorized wafer lift
US6120609A (en) * 1996-10-25 2000-09-19 Applied Materials, Inc. Self-aligning lift mechanism
JP2000138282A (ja) * 1998-10-29 2000-05-16 Fujitsu Ltd 真空シール方法及び真空吸着装置
JP2003133233A (ja) * 2001-10-23 2003-05-09 Hitachi Kokusai Electric Inc 基板処理装置
JP4574987B2 (ja) * 2002-01-10 2010-11-04 東京エレクトロン株式会社 処理装置
US6730175B2 (en) * 2002-01-22 2004-05-04 Applied Materials, Inc. Ceramic substrate support
DE10217806A1 (de) * 2002-04-22 2003-10-30 Aixtron Ag Verfahren und Vorrichtung zum Abscheiden dünner Schichten auf einem Substrat in einer höherverstellbaren Prozesskammer
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
JP2004165439A (ja) * 2002-11-13 2004-06-10 Canon Inc ステージ装置
US20040177813A1 (en) * 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US7007919B2 (en) 2003-04-17 2006-03-07 Applied Materials, Inc. Slit valve method and apparatus
JP4152802B2 (ja) * 2003-05-09 2008-09-17 日本エー・エス・エム株式会社 薄膜形成装置
US20040255442A1 (en) 2003-06-19 2004-12-23 Mcdiarmid James Methods and apparatus for processing workpieces
JP2005039123A (ja) * 2003-07-17 2005-02-10 Renesas Technology Corp 化学気相成長装置
JP2005050904A (ja) * 2003-07-30 2005-02-24 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法、ならびに基板載置機構
JP2006013238A (ja) * 2004-06-28 2006-01-12 Hitachi Kokusai Electric Inc 半導体製造装置
US20060054090A1 (en) * 2004-09-15 2006-03-16 Applied Materials, Inc. PECVD susceptor support construction
KR20070085764A (ko) * 2004-11-04 2007-08-27 가부시키가이샤 니콘 미동 스테이지 z 지지 장치
JP4354426B2 (ja) * 2004-11-24 2009-10-28 シーケーディ株式会社 傾斜機能付き浮上ユニット及び浮上装置
WO2007018139A1 (ja) 2005-08-10 2007-02-15 Hitachi Kokusai Electric Inc. 半導体装置の製造方法および基板処理装置
JP2008246644A (ja) * 2007-03-30 2008-10-16 Daihen Corp 搬送装置
US7972470B2 (en) 2007-05-03 2011-07-05 Applied Materials, Inc. Asymmetric grounding of rectangular susceptor
US8057602B2 (en) 2007-05-09 2011-11-15 Applied Materials, Inc. Apparatus and method for supporting, positioning and rotating a substrate in a processing chamber
TWI349720B (en) * 2007-05-30 2011-10-01 Ind Tech Res Inst A power-delivery mechanism and apparatus of plasma-enhanced chemical vapor deposition using the same
JP4971063B2 (ja) * 2007-07-27 2012-07-11 株式会社ダイヘン 搬送装置
JP5004891B2 (ja) * 2008-07-25 2012-08-22 ボンドテック株式会社 傾斜調整機構およびこの傾斜調整機構の制御方法
KR101862234B1 (ko) * 2009-08-20 2018-05-29 가부시키가이샤 니콘 물체 처리 장치, 노광 장치와 노광 방법, 및 디바이스 제조 방법
DE102010016477A1 (de) * 2010-04-16 2011-10-20 Aixtron Ag Thermisches Behandlungsverfahren mit einem Aufheizschritt, einem Behandlungsschritt und einem Abkühlschritt
US20120225206A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120222620A1 (en) 2011-03-01 2012-09-06 Applied Materials, Inc. Atomic Layer Deposition Carousel with Continuous Rotation and Methods of Use
JP2013187315A (ja) * 2012-03-07 2013-09-19 Nippon Seisan Gijutsu Kenkyusho:Kk インライン型プラズマcvd装置
KR101363083B1 (ko) * 2012-11-22 2014-02-14 (주)유시스템 플레이트 정렬 장치
TW201437421A (zh) * 2013-02-20 2014-10-01 Applied Materials Inc 用於旋轉料架原子層沉積之裝置以及方法
TW201437423A (zh) 2013-02-21 2014-10-01 Applied Materials Inc 用於注射器至基板的空隙控制之裝置及方法
JP6160287B2 (ja) * 2013-03-04 2017-07-12 日本精工株式会社 シールユニット、搬送装置および半導体製造装置
WO2014144533A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor

Also Published As

Publication number Publication date
US20160355927A1 (en) 2016-12-08
KR20180006496A (ko) 2018-01-17
US10597779B2 (en) 2020-03-24
JP2018518056A (ja) 2018-07-05
WO2016196105A1 (en) 2016-12-08

Similar Documents

Publication Publication Date Title
JP6802191B2 (ja) サセプタの位置付け及び回転装置、並びに使用の方法
KR102583239B1 (ko) 도어 개방 장치, 이송 챔버 및 반도체 처리 디바이스
TWI690021B (zh) 用於多腔室外殼的精準配合的可變動調整
JP7471237B2 (ja) 長い運動能力を有する精密な動的レベリング機構
TWI700766B (zh) 基座定位及旋轉設備及使用方法
US11180846B2 (en) Fine leveling of large carousel based susceptor
KR101800719B1 (ko) 프로세스 챔버 가스 유동 장치, 시스템들 및 방법들
US9349589B2 (en) Vacuum processing apparatus and vacuum processing method
US20100227059A1 (en) Film deposition apparatus, film deposition method, and computer readable storage medium
SG194310A1 (en) Carousel reactor for multi-station, sequential processing systems
TW201437421A (zh) 用於旋轉料架原子層沉積之裝置以及方法
US9530623B2 (en) Process chamber apparatus, systems, and methods for controlling a gas flow pattern
JP4599405B2 (ja) ウェハ処理システムのための、ウェハ移送装置及びウェハ移送方法
KR20170046578A (ko) 기판 처리 장치
US7642523B1 (en) Vacuum chamber stage with application of vacuum from below
KR102376372B1 (ko) 공간적 ald 프로세스 챔버에서 배면 증착을 방지하기 위한 장치
TWI723997B (zh) 用於批次處理之注射器及使用方法
US10428425B2 (en) Film deposition apparatus, method of depositing film, and non-transitory computer-readable recording medium
TWI546877B (zh) 腔室元件與用於放置腔室於負載位置處之方法
US20220223462A1 (en) Using controlled gas pressure for backside wafer support
KR20240059518A (ko) 프로세스 개선을 위한 반도체 제조 서셉터 포켓 에지
KR20220023475A (ko) 기판 지지대 및 기판 처리 장치
TW202123771A (zh) 成膜裝置

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20190524

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200626

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200707

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201002

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20201027

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20201126

R150 Certificate of patent or registration of utility model

Ref document number: 6802191

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250