JP7274461B2 - 保護バリア層を使用して半導体構造を製造する装置および方法 - Google Patents

保護バリア層を使用して半導体構造を製造する装置および方法 Download PDF

Info

Publication number
JP7274461B2
JP7274461B2 JP2020514961A JP2020514961A JP7274461B2 JP 7274461 B2 JP7274461 B2 JP 7274461B2 JP 2020514961 A JP2020514961 A JP 2020514961A JP 2020514961 A JP2020514961 A JP 2020514961A JP 7274461 B2 JP7274461 B2 JP 7274461B2
Authority
JP
Japan
Prior art keywords
layer
annealing
thickness
silicon
liner layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020514961A
Other languages
English (en)
Other versions
JP2020533803A (ja
JP2020533803A5 (ja
Inventor
プラミット マンナ
アビジット バス マルリック
カーティス レスチキーズ
スティーヴン ヴェルハヴェルベケ
シーシー ジャン
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020533803A publication Critical patent/JP2020533803A/ja
Publication of JP2020533803A5 publication Critical patent/JP2020533803A5/ja
Application granted granted Critical
Publication of JP7274461B2 publication Critical patent/JP7274461B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Description

本開示の実装は、一般に、保護バリア(たとえば、ライナ)層を使用して半導体構造を製造する方法および装置に関する。
半導体デバイス内に形成されるトレンチの幅は、トレンチを誘電体材料で充填することが困難になるほどトレンチ深さとトレンチ幅とのアスペクト比が大きくなるまで狭くなってきた。低い温度で堆積され、高圧蒸気下でアニーリングされた酸化ケイ素(SiOx)などの流動性の誘電体材料は、継ぎ目またはボイドを形成することなく、高アスペクト比のトレンチを高品質の酸化物材料で充填することができる。しかし、蒸気に露出されるシリコン(Si)などの任意の下にある材料が酸化物材料に変換されて、下層の品質に影響を及ぼす可能性がある。変換される酸化物の厚さは、数オングストローム(Å)になる可能性がある。たとえば、シリコンゲルマニウム(SiGe)材料は、高圧蒸気に露出されたとき、酸化の影響を特に受けやすい。
したがって、上述した問題に対処する製造方法および装置が、当技術分野で必要とされている。
本開示の実装は、一般に、保護バリア(たとえば、ライナ)層を使用して半導体を製造する方法および装置に関する。
一実施形態では、基板を処理する方法が提供される。この方法は、基板上に半導体構造を形成することを含み、半導体構造は、シリコン(Si)含有層またはシリコンゲルマニウム(SiGe)層を含む。この方法はまた、ライナ堆積プロセスを実行して半導体構造の上にライナ層を形成することを含む。この方法はまた、流動層堆積プロセスを実行してライナ層の上に流動層を堆積させることを含む。この方法はまた、流動層の表面を高圧蒸気に露出させることによってアニーリングプロセスを実行することを含み、ライナ層は、アニーリングプロセス中の下のSi含有層またはSiGe層の酸化を防止し、ライナ層の少なくとも一部分は、アニーリングプロセス中の酸化によって徐々に低減される。
別の実施形態では、基板を処理することが可能なクラスタシステムが提供される。クラスタシステムは、基板上に半導体構造を形成するように構成された第1の堆積チャンバを含み、半導体構造は、シリコン(Si)含有層またはシリコンゲルマニウム(SiGe)層を含む。第2の堆積チャンバが、半導体構造の上にライナ層を形成するように構成される。第3の堆積チャンバが、ライナ層の上に流動層を形成するように構成される。アニーリングチャンバが、流動性酸化物層を高圧蒸気に露出させることによってアニーリングプロセスを実行するように構成され、ライナ層は、アニーリングプロセス中の下のSi含有層またはSiGe層の酸化を防止する。ライナ層の少なくとも一部分は、アニーリングプロセス中の酸化によって徐々に低減される。
本開示の上記の特徴を詳細に理解することができるように、実装を参照することによって、上記で簡単に要約した本開示のより具体的な説明を得ることができる。実装のいくつかは添付の図面に示されている。しかし、本開示は他の等しく有効な実装も許容しうるため、添付の図面は本開示の典型的な実装のみを示し、したがって本開示の範囲を限定すると見なされるべきではないことに留意されたい。
本開示の一実施形態による半導体構造の上に流動性誘電体層を形成する製造プロセスを示す流れ図である。 本開示の一実施形態による図1の各製造動作が実行された後の半導体構造の一部分の概略断面図である。 本開示の一実施形態によるアニーリングプロセスが実行された後の様々な組合せの層が堆積した半導体構造の概略断面図である。 本開示の一実施形態による図1に関して説明した製造プロセスを実行するために使用することができる処理システムの概略上面図である。
理解を容易にするために、可能な場合、これらの図に共通する同一の要素を指すために同一の参照番号を使用した。1つの実装に開示する要素は、特段の指示がなくても他の実装で有益に利用することができることが企図される。
本開示の実装は、一般に、保護バリア(たとえば、ライナ)層を使用して半導体構造を製造する方法および装置に関する。特に、本明細書に提示する方法は、シリコン(Si)含有層またはシリコンゲルマニウム(SiGe)層を含む半導体構造を形成することと、半導体構造の上にライナ層を堆積させることと、ライナ層の上に流動層を形成することと、流動層を高圧蒸気に露出させることとを含み、ライナ層は、アニーリングプロセス中の下のSi含有層またはSiGe層の酸化を防止し、ライナ層の少なくとも一部分は、アニーリングプロセス中の酸化によって徐々に低減される。
図1は、本開示の一実施形態による半導体構造の上に流動性誘電体層を形成する製造プロセス100を示す流れ図を示す。製造プロセス100は、たとえば平面構造、フィン電界効果トランジスタ(FinFET)構造、または水平ゲートオールアラウンド(hGAA)構造を含む半導体デバイスの複数の動作を含む製造プロセスの一部とすることができる。製造プロセス100の各動作は、指定の論理機能を実施するための1つまたは複数の実行可能な命令を含むコードのモジュール、セグメント、または部分を表すことができる。いくつかの実装では、製造プロセスのこれらの動作は、同時に、実質上同時に、または図1に示したもの以外の順序で行うことができる。製造プロセス100の各動作および動作の組合せは、指定の機能もしくは動作を実行する特別目的ハードウェアに基づくシステム、または特別目的ハードウェアおよびコンピュータ命令の組合せによって実施することができる。
製造プロセスは、基板上に半導体構造が形成される動作102から始まる。半導体構造は、シリコン(Si)材料などのシリコン含有材料またはシリコンゲルマニウム(SiGe)含有材料の1つまたは複数の層を含む。Si含有層またはSiGe層は、基板の表面の上にエピタキシャル成長させることができる。
基板は、シリコン基板、たとえばシリコン(ドープされたもしくはドープされていない)、結晶シリコン、酸化ケイ素、ドープされたもしくはドープされていないポリシリコンなど、ゲルマニウム基板、シリコンゲルマニウム(SiGe)基板、ヒ化ガリウム基板、炭化ケイ素(SiC)基板、パターン付きもしくはパターンなし絶縁体上半導体(SOI)基板、炭素がドープされた酸化物、窒化ケイ素などの第III-V族化合物基板、液晶ディスプレイ(LCD)、プラズマディスプレイ、エレクトロルミネセンス(EL)ランプディスプレイなどのディスプレイ基板、太陽電池アレイ、ソーラーパネル、発光ダイオード(LED)基板、ガラス、サファイア、または金属、金属合金、および他の導電性材料などの任意の他の材料など、材料を堆積させることが可能な任意の基板とすることができる。トランジスタ、キャパシタ、抵抗器、ダイオード、フォトダイオード、ヒューズなどの様々なN型金属酸化物半導体(NMOS)および/またはP型金属酸化物半導体(PMOS)デバイスなどの1つまたは複数の電気デバイスを、基板内に形成することができる。基板は、特定のサイズまたは形状に限定されるものではないことが企図される。たとえば、基板は、とりわけ200mmの直径、300mmの直径、または450mmなどの他の直径を有する円形の基板とすることができる。基板はまた、任意の多角形、正方形、長方形、湾曲形、またはその他の非円形の加工物とすることができる。
動作104で、半導体構造をパターニングおよびエッチングして、基板上にトレンチまたは間隙などの特徴を形成する。たとえば、半導体構造は、リソグラフィシステムでパターニングし、エッチングチャンバでエッチングすることができる。一実施形態では、極紫外パターニングプロセスなどのフォトリソグラフィプロセスを利用して、半導体構造を処理することができる。一実施形態では、半導体構造内へエッチングされるトレンチまたは間隙のアスペクト比は、約1:1、約2:1、約3:1、約5:1、約10:1、約15:1、約20:1、約30:1、約50:1、約100:1、またはそれ以上である。
一実施形態では、トレンチまたは間隙のアスペクト比は、約10:1~約30:1、たとえば約15:1である。「アスペクト比」という用語は、特定の特徴、たとえば基板内に形成されたトレンチまたは間隙の高さ寸法と幅寸法との比を指す。
動作106で、基板が堆積チャンバ内に位置決めされている間に、半導体構造の側壁上に保護バリア(たとえば、ライナ)層が形成される。一実施形態では、ライナ層は、たとえば化学気相堆積、原子層堆積、またはエピタキシャル堆積によって堆積される。別の実施形態では、ライナ層は、熱酸化プロセスまたは熱窒化プロセスなどの好適なプロセスによって形成される(すなわち、成長させられる)。ライナ層は、流動性誘電体層の堆積中およびアニーリングプロセス中の半導体構造または基板の下層(たとえば、Si含有層またはSiGe層)の酸化を防止する。
一実施形態では、ライナ層は、酸化物材料、窒化物材料、または酸素窒化物ベースの材料から形成することができる。たとえば、ライナ材料は、酸化ケイ素(SiO2)、窒化ケイ素(Si34、略してSiN)、またはSiONもしくはSi22Oなどの酸窒化ケイ素(SiOxy)とすることができる。一実施形態では、酸化物材料は、堆積チャンバを使用する流動性化学気相堆積(CVD)プロセスによって堆積される。好適な堆積チャンバは、高密度プラズマCVDチャンバ、プラズマCVDチャンバ、減圧CVDチャンバなどを含むことができる。流動性酸化物または窒化物層を形成するように適合することができる好適な装置の一例には、どちらもカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なPRODUCER(登録商標)システムまたはULTIMA HDP CVD(登録商標)システムが含まれる。他の製造者からのものを含む他の好適な堆積チャンバを利用することもできることが企図される。
動作108で、半導体構造のライナ層の上に流動性誘電体層が形成される。本開示の流動性誘電体層は、任意の誘電体層を含むことができる。一実施形態では、誘電体層はシリコン含有層であり、それだけに限定されるものではないが、SiC、SiO、SiCN、SiO2、SiOC、SiOCN、SiON、またはSiNを含むことができる。一例では、流動性誘電体層を形成するために、シリコン含有前駆体、酸素系ラジカル前駆体、および窒素系ラジカル前駆体を堆積チャンバ内へ導入して、基板の上に流動性誘電体層を形成する。追加または別法として、流動性誘電体層は、追跡可能な量の炭素を含有しなくてもよい(すなわち、炭素を含まない、または実質上炭素を含まない)。
流動性誘電体層は、基板の露出面上および基板に形成されたトレンチまたは間隙内へ堆積させることができる。誘電体層の流動性は、少なくとも一部には、堆積層内の短鎖ポリシラザンポリマーの存在によるものとすることができる。たとえば、堆積層は、シラザン型のSi-NH-Siバックボーン(すなわち、Si-N-H層)を有することができる。短鎖ポリマーの形成および流動性を可能にする窒素が、ラジカル前駆体またはシリコン含有前駆体から発生することができる。誘電体層の流動性により、誘電体層は、高いアスペクト比を有するトレンチまたは間隙を、トレンチ内にボイドを生じることなく充填することが可能になる。特に、流動性誘電体層は、トレンチの側壁上の堆積を最小にしながら、トレンチを下から上へ充填する。誘電体層の流動性は、流動性誘電体層の堆積が進行するにつれて減衰する。誘電体層の流動性は、後のアニーリングプロセス中に除去される。
一実施形態では、好適なシリコン含有前駆体には、酸素原子とケイ素原子との比が約0~約6である有機ケイ素化合物が含まれる。好適な有機ケイ素化合物は、シロキサン化合物、テトラクロロシラン、ジクロロジエトキシシロキサン、クロロトリエトキシシロキサン、ヘキサクロロジシロキサン、および/またはオクタクロロトリシロキサンなどの1つまたは複数のハロゲン部分(たとえば、フッ化物、塩化物、臭化物、またはヨウ化物)を含むハロゲン化シロキサン化合物、ならびにトリシリルアミン(TSA)、ヘキサメチルジシラザン(HMDS)、シラトラン、テトラキス(ジメチルアミノ)シラン、ビス(ジエチルアミノ)シラン、トリス(ジメチルアミノ)クロロシラン、およびメチルシラトランなどのアミノシランを含むことができる。シラン、ハロゲン化シラン、オルガノシラン、およびこれらの任意の組合せなどの他のシリコン含有前駆体を使用することもできる。シランは、シラン(SiH4)ならびにジシラン(Si26)、トリシラン(Si38)、およびテトラシラン(Si410)などの実験式SixH(2x+2)を有する高次シラン、またはポリクロロシランなどの他の高次シランを含むことができる。
酸素系ラジカル前駆体は、酸素(O2)、オゾン(O3)、NO、NO2、またはN2Oなどの窒素-酸素化合物、水または過酸化物などの水素-酸素化合物、一酸化炭素または二酸化炭素などの炭素-酸素化合物、および他の酸素含有前駆体、ならびにこれらの任意の組合せから形成される酸素ラジカルを含むことができる。酸素ラジカルは、遠隔で生成し、シリコン含有前駆体によって導入することができる。酸素系ラジカル前駆体は、堆積チャンバへの導入前に、たとえば遠隔プラズマ源を使用して活性化することができ、遠隔プラズマ源は、CCP(容量結合プラズマ)またはICP(誘導結合プラズマ)構成を有することができる。
窒素系ラジカル前駆体は、窒素(N2)、亜酸化窒素(N2O)、一酸化窒素(NO)、二酸化窒素(NO2)、アンモニア(NH3)、およびこれらの任意の組合せから形成される窒素ラジカルを含むことができる。窒素ラジカルは、遠隔で生成し、シリコン含有前駆体および酸素系ラジカル前駆体によって導入することができる。窒素系ラジカル前駆体は、堆積チャンバへの導入前に、たとえば遠隔プラズマ源を使用して活性化することができ、遠隔プラズマ源は、CCP(容量結合プラズマ)またはICP(誘導結合プラズマ)構成を有することができる。
いくつかの実装では、酸素系ラジカル前駆体は、第1の体積流量で堆積チャンバ内へ流され、シリコン含有前駆体は、第2の体積流量で堆積チャンバ内へ流される。一実施形態では、第1の体積流量と第2の体積流量との比は、約0.3:1~約0.9:1、約0.5:1~約0.7:1など、たとえば約0.6:1である。
いくつかの実装では、窒素系ラジカル前駆体は、第1の体積流量で堆積チャンバ内へ流され、シリコン含有前駆体は、第2の体積流量で堆積チャンバ内へ流される。一実施形態では、第1の体積流量と第2の体積流量との比は、約0.2:1~約0.8:1、約0.4:1~約0.6:1など、たとえば約0.5:1である。
酸素ラジカルおよび窒素ラジカルの両方を含有するラジカル前駆体が使用される場合、酸素系ラジカル前駆体または窒素系ラジカル前駆体を省略することができることが企図される。
シリコン含有前駆体、酸素系ラジカル前駆体、および窒素系ラジカル前駆体は、堆積チャンバ内へ流し、摂氏約0度~約100度、たとえば摂氏約65度の温度で反応させることができる。流動性誘電体層の形成中、堆積チャンバの圧力は、約0.1トル~約10トル、たとえば約0.5トル~約6トルで維持することができる。
動作110で、半導体構造は、アニーリングチャンバ内で高圧アニーリングプロセスにかけられる。アニーリングプロセス後、流動性誘電体層は、より高い密度、より良好な安定性を呈し、より高い温度に耐えることができる。一実施形態では、アニーリングプロセス前に任意選択の硬化プロセスが実行される。
高圧アニーリングプロセス110中、基板が位置決めされたアニーリングチャンバ内へアニーリングガスが導入される。一実施形態では、アニーリングガスは酸素成分を含む。アニーリングガスはまた、水素成分を含むことができる。一実施形態では、アニーリングガスは、蒸気ならびに/または蒸気および酸素の混合物のうちの1つを含む。一実施形態では、アニーリングガスは、オゾン、酸素、水蒸気、重水、過酸化物、水酸化物含有化合物、酸素同位体(14、15、16、17、18など)、ならびに酸素および/または水の非同位体のうちの1つをさらに含む。過酸化物は、気体状態の過酸化水素とすることができる。いくつかの実施形態では、アニーリングガスは、それだけに限定されるものではないが、蒸気(vapor)状態(たとえば、蒸気(steam))の水蒸気または重水など、水酸化物イオンを含む酸化剤である。
一例では、アニーリングガスは乾燥蒸気または過熱蒸気である。乾燥蒸気は、アニーリングチャンバに入ると過熱蒸気になることができる。半導体構造が処理されるアニーリングチャンバの内面の温度は、アニーリングガスの凝結を防止するように維持される。たとえば、アニーリングガスに露出されるアニーリングチャンバの表面の温度は、摂氏約200度~摂氏約600度で維持される。
アニーリングプロセス中、アニーリングチャンバ内のアニーリングガスの圧力は、約1バール~約60バールで維持される。たとえば、アニーリングチャンバ内の処理ガスの圧力は、約2バールを上回るように、たとえば約10バール超などで維持される。別の例では、アニーリングチャンバ内のアニーリングガスは、約10~約60バール、約20~約50バールなどの圧力で維持される。アニーリングプロセス110の処置時間(たとえば、浸漬時間)は、約5分~約120分、約30分~約90分などとすることができる。
図2A~図2は、本開示の一実施形態による各製造動作が実行された後の半導体構造の一部分の概略断面図を示す。
図2Aは、基板202の上に複数の層が堆積された後の半導体構造200Aの一部分の概略断面図を示す。一実施形態では、基板202は、バルク半導体基板とすることができ、基板は半導体材料を含む。バルク半導体基板は、半導体構造を形成するための任意の好適な半導電性材料および/または半導電性材料の組合せを含むことができる。一実施形態では、基板202の半導体材料はシリコン材料を含む。いくつかの実施形態では、基板202の半導体材料は、nドープされたシリコン(n-Si)またはpドープされたシリコン(p-Si)などのドープされた材料である。
半導体構造200Aは、複数の層を含む。一実施形態では、半導体構造200Aは、第1の層204、第2の層206、および第3の層208を含む。第2の層206は、シリコンゲルマニウム(SiGe)材料などの少なくとも1つの第III-V族材料から形成することができる。一例では、第2の層206は、約10%~約50%、約20%~約40%などのゲルマニウム含有率を有する。第2の層206のシリコン含有率は、約50%~約90%、約60%~約80%などとすることができる。一実施形態では、第2の層206は、エピタキシャル化学気相堆積プロセスを使用して堆積される。
一実施形態では、第1の層204は、シリコン含有材料から形成され、第3の層208は、二酸化ケイ素から形成される。別の実施形態では、半導体構造200AがSiGe含有材料から作製されるとき、第1の層204、第2の層206、および第3の層208はそれぞれ、SiGe含有層である。さらに別の実施形態では、第1の層204および第3の層208は、半導体構造の機能に応じて、任意の好適な材料から形成される。
図2Bは、半導体構造200Bの一部分の概略断面図を示す。図2Bに示す半導体構造200Bは、パターニングプロセスおよびエッチングプロセスが実行された後の図2Aに示す半導体構造200Aに対応する。半導体構造200Bの両縁部がエッチングされている。その結果、半導体構造200Bと隣接する半導体構造との間など、隣接する半導体構造間に、トレンチまたは間隙を形成することができる。
一実施形態では、極紫外パターニングプロセスなどのフォトリソグラフィプロセスを利用して、半導体構造200Aをエッチングすることができる。別の実施形態では、自己整合型2倍または4倍パターニングプロセスを利用して、半導体構造200Aをパターニングすることもできる。
半導体構造200Aをエッチングするために利用される例示的なエッチングプロセスは、反応性イオンエッチング(RIE)プロセスである。類似および他のエッチングプロセスを利用することもできることが企図される。一実施形態では、塩素、臭素、またはフッ素系の化学的性質を利用してRIEプロセスを実行し、半導体構造200Aを異方性エッチングすることができる。
図2Cは、半導体構造200Cの一部分の概略断面図を示す。半導体構造200Cは、図2Bの半導体構造200Bに類似しているが、半導体構造200Cは、ライナ堆積プロセスを介して半導体構造200Bの上に堆積されたライナ層210を含む。ライナ層210は、窒化ケイ素(SiN)またはSiONもしくはSi22Oなどの酸窒化ケイ素(SiOxy)から形成することができる。
アニーリングプロセスがライナ層210上で実行され、ライナ層210を酸化物に徐々に変換する。ライナ層210から酸化物への変換率は、アニーリング温度、蒸気の圧力、流動性誘電体層の特性(たとえば、材料タイプおよび厚さ)、アニーリング酸化剤の特性(たとえば、酸化剤のタイプおよび濃度)、および/またはアニーリング時間などの様々な要因に依存する。ライナ層210の厚さは、アニーリングプロセス中に変えることができる。アニーリングプロセスの特性は、ライナ層210の厚さに影響を与えることができる。たとえば、アニーリング温度、蒸気の圧力、アニーリング時間、および/または流動性誘電体層の厚さを増大させるにつれて、ライナ層210の厚さを増大させることができる。さらに、アニーリング温度、蒸気の圧力、アニーリング時間、および/または流動性誘電体層の厚さを減少させるにつれて、ライナ層210の厚さを減少させることができる。
アニーリングプロセスが完了する前にライナ層210全体が酸化した場合、下の第3の層208および第2の層206が酸化し始める可能性があり、その結果、第3の層208および第2の層206の品質が低下するおそれがある。したがって、流動性酸化物堆積プロセスおよびアニーリングプロセスなどの後のプロセス中の下の第3の層208および第2の層206の酸化からの十分な保護を提供するように、堆積させるべきライナ層210の厚さが判定される。他方では、ライナ層210の厚さは、半導体集積回路の密度を満たすのに十分なほど薄くするべきである。
ライナ層210の厚さは、アニーリングプロセスの終わりに残っているライナ層210の厚さに基づいて判定することができる。一実施形態では、残りのライナ層210の厚さは、ゼロ(0)または実質上ゼロ(0)近くにすることができる。別の実施形態では、残りのライナ層210の厚さは、電力消費、動作速度、または密度などの半導体集積回路のサイズ要件および/または性能要件に応じて、特定の範囲内、たとえば約1Å~約30Åとすることができる。
一実施形態では、ライナ層201の最初の幅は、約5Å~約100Å、約20Å~約30Åなど、たとえば約25Åとすることができる。ライナ層210は、後のアニーリングプロセス中の層204、206、208の酸化を防止するのに好適なものとすることができることが企図される。したがって、流動性酸化物堆積プロセスおよび/またはアニーリングプロセスなどの後のプロセス中の下のSi含有層またはSiGe層の酸化からの十分な保護を提供する厚さを有するライナ層210を堆積させるべきである。また、ライナ層210の厚さは、後のプロセス後に残っているライナ層210の厚さが半導体集積回路のサイズ要件を満たすように判定するべきである。一実施形態では、ライナ層210の厚さは、以下の図3に関して説明するように、その結果得られるライナ層210の厚さに基づいて判定することができる。
図2Dは、基板202および半導体構造200Dの一部分の概略断面図を示す。半導体構造200Dは、誘電体材料層212が堆積された後の図2Cに示す半導体構造200Cである。一実施形態では、誘電体材料層212は流動性誘電体層である。流動性誘電体層は、酸化ケイ素材料などの誘電体材料から形成される。誘電体材料層212は、他のシステムの中でも、高密度プラズマCVDシステム、プラズマCVDシステム、および/または減圧CVDシステムを使用して形成することができる。誘電体材料層212を形成するように適合することができるCVDシステムの例には、どちらもカリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なULTIMA HDP CVD(登録商標)システムおよびPRODUCER(登録商標)ETERNA CVD(登録商標)システムが含まれる。他の製造者からの他の好適なCVDシステムを利用することもできることが企図される。
図2Eおよび図2Fは、それぞれ半導体構造200Eおよび200Fの一部分の概略断面図を示す。半導体構造200Eおよび200Fは、アニーリングプロセスが実行された後の図2Dに示す半導体構造200Dに対応する。アニーリングプロセスは、誘電体材料層212を標的層の組成および品質に高密度化するように実行される。アニーリングプロセス中、ライナ層210は酸化物に徐々に変換される。ライナ層210の酸化が生じると、ライナ層210の厚さおよび幅が減少する。一実施形態では、図2Eに示すように、ライナ層210の一部分はアニーリングプロセス後も残る。別の実施形態では、図2Fに示すように、ライナ層210全体が酸化される。
一実施形態では、アニーリングプロセスは、乾燥蒸気アニーリングプロセスを含む。蒸気アニーリングプロセスは、摂氏約200度~摂氏約600度、摂氏約400度~摂氏約500度などの温度で実行することができる。蒸気アニーリングプロセスは、約5分~約120分、たとえば約100分の時間量にわたって実行することができる。一実施形態では、乾式アニーリングプロセスを約60分にわたって実行することができる。
別の実施形態では、湿式蒸気アニーリングプロセスおよび乾式アニーリングプロセスをどちらも利用することができる。この実施形態では、乾式アニーリングプロセスは、湿式蒸気アニーリングプロセス後に実行することができる。
図3は、本開示の一実施形態によるアニーリングプロセスが実行された後の層が堆積した半導体構造350、352、354、356、358、および360の断面図を示す。半導体構造350、352、354、356、358、および360上で実行されたアニーリングプロセスの結果を使用して、後のアニーリングプロセス中の下のSi含有層またはSiGe層の酸化からの十分な保護を提供するライナ層の厚さを判定することができる。
半導体構造350、352、および354は、摂氏400度の温度、30バールの圧力、1時間の処理時間、および2.5未満の湿式エッチング速度比(WERR)で行われたアニーリングプロセスの結果を示す。半導体構造350は、上述したアニーリングプロセスに露出されたSiO層302およびSiGeOx層304を含む。アニーリングプロセス前、SiO層302は約2400Åの厚さを有し、SiGe層は約1024Åの厚さを有する。アニーリングプロセス後、SiGe層はSiGe酸化(SiGeOx)層304に変換される。
半導体構造352は、厚さ約100Åの窒化ケイ素(SiN)層308上に配置された厚さ約2230ÅのSiO層306を含む。SiN層308は、厚さ約460ÅのSiGe層310上に配置される。アニーリングプロセス後、SiN層308のわずかな部分が酸化した。しかしSiN層308は、半導体構造350のSiGeOx層304と比較すると、SiGe層310の酸化量を実質上低減させ、したがってSiGe層310の酸化は実質上発生しなかった。
半導体構造354は、厚さ約20ÅのSiN層314上に配置された厚さ約2230ÅのSiO層312を含む。SiN層は、厚さ約460ÅのSiGe層316上に配置される。アニーリングプロセス後、SiN層314のわずかな部分が酸化する。しかしSiN層314は、半導体構造350のSiGeOx層304と比較すると、SiGe層316の酸化量を実質上低減させ、したがってSiGe層316の酸化は実質上発生しなかった。
半導体構造352上のSiGe層310の酸化および半導体構造354上のSiGe層316の酸化がないことは、厚さ約20Å以上のSiN層が、上述したアニーリングプロセス中に厚さ約2200ÅのSiO層を有する半導体構造の下のSiGe層の酸化を実質上低減させることを示している。
半導体構造356、358、および340は、摂氏450度の温度、30バールの圧力、1時間の処理時間、および2.0未満のWERRで行われたアニーリングプロセスの結果を示す。半導体構造356は、厚さ約100ÅのSiN層322上に配置された厚さ約2230ÅのSiO層320を含む。SiN層322は、厚さ約479ÅのSiGe層324上に配置される。アニーリングプロセス後、SiN層322のわずかな部分が酸化した。しかし、SiGe層324は元の状態のままであり、酸化は実質上生じなかった。
半導体構造358は、厚さ約30ÅのSiN層328上に配置された厚さ約2400ÅのSiO層326を含む。SiN層328は、厚さ約460ÅのSiGe層330上に配置される。アニーリングプロセス後、SiN層328全体が酸化した。しかし、SiGe層330は実質上元の状態のままであり、酸化は実質上生じなかった。
半導体構造360は、厚さ約20ÅのSiN層334上に配置された厚さ約2190ÅのSiO層332を含む。SiN層334は、厚さ約620ÅのSiGe層上に配置される(アニーリングプロセス前)。アニーリングプロセス後、SiN層334の大部分が酸化した。さらに、厚さ約280ÅのSiGe層の一部分(すなわち、SiGeOx層336)が酸化した。酸化していないSiGe層338の残り部分は、約340Åの厚さを有する。
それぞれ半導体構造356および358のSiGe層324および330に対する酸化がないこと、ならびにSiGeOx層304に対してSiGeOx層336が小さいことは、厚さ約30Å以上のSiN層が、上述したアニーリングプロセス中に厚さ約2400ÅのSiO層を含む半導体構造の下のSiGe層の酸化を実質上低減させることを示している。
図4は、本開示の一実施形態による図1に示す製造プロセスを実行するために使用することができる処理システム480の概略上面図である。クラスタシステム480は、基板の中心探知および配向、ガス抜き、アニーリング、堆積、エッチングなどを含む様々な機能を実行する複数のチャンバ(たとえば、プロセスチャンバ490A~490D、サービスチャンバ491A~491Bなど)を備えるモジュールシステムである。
クラスタシステム480のプロセスチャンバ490A~490Dは、製造プロセス100の少なくともいくつかの部分を実行するように構成された堆積チャンバ、エッチングチャンバ、プラズマチャンバ、およびアニーリングチャンバを含み、イオン注入チャンバなどのチャンバをさらに含むことができる。
チャンバ490A~490Dは、プロセス体積を形成するチャンバ壁と、プロセス体積内に基板を支持する基板支持体と、プロセス体積内の圧力を調整する圧力調整器と、プロセス体積へガスを提供するガス入口と、プロセス体積からのガスを排出するガス出口とを備える処理チャンバを含む。
プラズマチャンバは、プラズマチャンバ筐体へ電力を提供してプラズマチャンバ筐体内でプラズマを生成および維持するための少なくとも1つの電極を含む。プラズマチャンバはまた、少なくとも1つの電極に電気的に接続された少なくとも1つのRF電源を含む。
エッチングチャンバは、処理チャンバ内へエッチングガスを送り込むためのエッチングガス源を含む。堆積チャンバは、処理チャンバ内へ反応ガスを導入するための前駆体ガス源を含む。アニーリングチャンバは、処理チャンバ内へアニーリングガスを導入するためのアニーリングガス源を含む。イオン注入チャンバは、アークチャンバと、アークチャンバ内に位置決めされたフィラメントと、フィラメントとアークチャンバとの間に位置決めされたリペラ構造とを備える。
本開示の実施形態を行うためのクラスタシステム480は、基板上に半導体構造を形成するように構成された第1の堆積チャンバを含み、半導体構造は、シリコン(Si)含有層またはシリコンゲルマニウム(SiGe)層を含む。クラスタシステム480はまた、パターニングされた半導体構造をエッチングするように構成されたエッチングチャンバと、ライナ堆積プロセスを実行して半導体構造の上にライナ層を形成するように構成された第2の堆積チャンバとを含む。クラスタシステム480の第3の堆積チャンバが、流動層堆積プロセスを実行してライナ層の上に流動層を形成するように構成される。クラスタシステム480のアニーリングチャンバが、流動層を高圧蒸気に露出させることによってアニーリングプロセスを実行するように構成される。クラスタシステム480は、極紫外光を使用して半導体構造をパターニングするためのリソグラフデバイスをさらに含む。
クラスタシステム480の複数のチャンバ490A~490Dは、チャンバ490A~490D間で基板を移送するように適合されたロボット489を収容する中央真空移送チャンバ488に取り付けられる。真空移送チャンバ488は、真空状態で維持されており、基板を1つのチャンバから別のチャンバへ、および/またはクラスタシステム480の前端に位置決めされたロードロックチャンバ484へ移送する中間ステージを提供する。前端環境483が、ロードロックチャンバ484と選択的に連通するように位置決めされる。前端環境483内に配置されたポッドローダ485は、ロードロックチャンバ484と前端環境483上に取り付けられた複数のポッド487との間で基板のカセットを移送するように線形および回転運動(矢印482)が可能である。
クラスタシステム480はまた、クラスタシステム880内で実行される様々な処理方法を実施するようにプログラムされたコントローラ481を含む。たとえば、コントローラ481は、ガス源からの様々な前駆体およびプロセスガスの流れ、ならびに材料堆積またはエッチングプロセスに関連する処理パラメータを制御するように構成することができる。コントローラ481は、メモリ477とともに動作可能であるプログラム可能な中央処理ユニット(CPU)479と、基板処理の制御を容易にするようにクラスタシステム480の様々な構成要素に結合された電源、クロック、キャッシュ、入出力(I/O)回路などの大容量ストレージデバイス、入力制御ユニット、および表示ユニット(図示せず)とを含む。コントローラ481はまた、クラスタシステム480内のセンサを介して基板処理を監視するハードウェアを含む。基板温度、チャンバ大気圧などのシステムパラメータを測定する他のセンサはまた、コントローラ481へ情報を提供することができる。
上述したクラスタシステム480の制御を容易にするために、CPU479は、様々なチャンバおよびサブプロセッサを制御するためにプログラム可能な論理制御装置(PLC)などの産業設定で使用することができる汎用コンピュータプロセッサの任意の形態の1つとすることができる。メモリ477はCPU479に結合され、メモリ477は非一時的であり、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスクドライブ、ハードディスク、または任意の他の形態のローカルもしくは遠隔のデジタルストレージ装置などの容易に利用可能なメモリの1つまたは複数とすることができる。CPU479には、プロセッサを従来どおり支持するための支持回路475が結合される。堆積、エッチング、アニーリング、および他のプロセスは、概して、メモリ477内に、典型的にはソフトウェアルーチンとして記憶される。ソフトウェアルーチンはまた、CPU479によって制御されているハードウェアから遠隔に位置する第2のCPU(図示せず)によって記憶および/または実行することができる。
メモリ477は、CPU479によって実行されるとクラスタシステム480の動作を容易にする命令を含むコンピュータ可読ストレージ媒体の形態である。メモリ477内の命令は、本開示の方法を実施するプログラムなどのプログラム製品の形態である。プログラムコードは、複数の異なるプログラミング言語のいずれか1つに準拠することができる。一例では、本開示は、コンピュータシステムとともに使用するためにコンピュータ可読ストレージ媒体上に記憶されるプログラム製品として実施することができる。プログラム製品のプログラムは、実施形態(本明細書に記載する方法を含む)の機能を含む。例示的なコンピュータ可読ストレージ媒体には、それだけに限定されるものではないが、(i)情報が恒久的に記憶される書込み不可ストレージ媒体(たとえば、CD-ROMドライブによって可読のCD-ROMディスク、フラッシュメモリ、ROMチップ、または任意のタイプの固体状態不揮発性半導体メモリなど、コンピュータ内の読取り専用ストレージ装置)、および(ii)変更可能な情報が記憶される書込み可能ストレージ媒体(たとえば、ディスクストレージ装置もしくはハードディスクドライブ、または任意のタイプの固体状態ランダムアクセス半導体メモリ)が含まれる。そのようなコンピュータ可読ストレージ媒体は、本明細書に記載する方法の機能を指示するコンピュータ可読命令を保持するとき、本開示の実施形態である。
上記は本開示の実装を対象とするが、本開示の基本的な範囲から逸脱することなく、本開示の他のさらなる実装を考案することができ、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (12)

  1. 基板を処理する方法であって、
    基板上に半導体構造を形成することであり、前記半導体構造がシリコン(Si)含有層またはシリコンゲルマニウム(SiGe)層を含む、半導体構造を形成することと、
    ライナ堆積プロセスを実行して前記半導体構造の上にライナ層を形成することと、
    流動層堆積プロセスを実行して前記ライナ層の上に流動性誘電体層を堆積させることであって、前記流動層堆積プロセスが、摂氏0度~摂氏約100度の温度で約1トル~約10トルのチャンバ圧力において、シリコン含有前駆体および酸素系ラジカル前駆体を反応させることを含み、前記シリコン含有前駆体がトリシリルアミンを含む、流動性誘電体層を堆積させることと、
    前記流動性誘電体層の表面を高圧蒸気に露出させることによってアニーリングプロセスを実行することとを含み、前記ライナ層の少なくとも一部分の厚さが、前記アニーリングプロセス中の酸化によって徐々に低減される、方法。
  2. 前記ライナ層の厚さが、前記アニーリングプロセスが完了した後に残っている前記ライナ層の厚さに基づいて判定される、請求項1に記載の方法。
  3. 前記ライナ層の厚さが、前記流動性誘電体層のアニーリング時間、アニーリング温度、および厚さのうちの少なくとも1つに基づいて判定され、前記ライナ層の前記厚さが実質上ゼロになる、請求項1に記載の方法。
  4. 前記ライナ層が、窒化ケイ素または酸窒化ケイ素から形成される、請求項1に記載の方法。
  5. 前記アニーリングプロセスが、約1バール~約60バールの圧力で摂氏約200度~摂氏約600度の温度のアニーリングチャンバ内で、約5分~約120分の時間にわたって、前記流動性誘電体層を高圧蒸気に露出させることを含む、請求項1に記載の方法。
  6. 前記アニーリングプロセスが、高圧乾燥蒸気アニーリングプロセスである、請求項1に記載の方法。
  7. 基板を処理する方法であって、
    基板上に半導体構造を形成することであり、前記半導体構造がシリコン(Si)含有層またはシリコンゲルマニウム(SiGe)層を含む、半導体構造を形成することと、
    ライナ堆積プロセスを実行して前記半導体構造の上にライナ層を形成することと、
    流動層堆積プロセスを実行して前記ライナ層の上に流動性誘電体層を堆積させることであって、前記流動層堆積プロセスが、摂氏約0度~摂氏約100度の温度で約1トル~約10トルのチャンバ圧力において、シリコン含有前駆体および酸素系ラジカル前駆体を反応させることを含み、前記シリコン含有前駆体がトリシリルアミンを含む、流動性誘電体層を堆積させることと、
    前記流動性誘電体層の表面を高圧蒸気に露出させることによってアニーリングプロセスを実行することとを含み、前記ライナ層が、前記アニーリングプロセス中の前記Si含有層またはSiGe層の酸化を防止し、前記ライナ層の少なくとも一部分の厚さが、前記アニーリングプロセス中の酸化によって徐々に低減される、方法。
  8. 前記ライナ層の厚さが、前記アニーリングプロセスが完了した後に残っている前記ライナ層の厚さに基づいて判定される、請求項に記載の方法。
  9. 前記ライナ層の厚さが、前記流動性誘電体層のアニーリング時間、アニーリング温度、および厚さのうちの少なくとも1つに基づいて判定される、請求項に記載の方法。
  10. 前記ライナ層の厚さが、前記アニーリングプロセス後に残っている前記ライナ層の厚さが実質上ゼロに等しくなるように判定され、前記ライナ層が、窒化ケイ素または酸窒化ケイ素から形成される、請求項に記載の方法。
  11. 前記アニーリングプロセスが、約1バール~約60バールの圧力で摂氏約200度~摂氏約600度の温度のアニーリングチャンバ内で、約5分~約120分の時間にわたって、前記流動性誘電体層を高圧蒸気に露出させることを含む、請求項に記載の方法。
  12. 前記アニーリングプロセスが、高圧乾燥蒸気アニーリングプロセスである、請求項に記載の方法。
JP2020514961A 2017-09-12 2018-09-11 保護バリア層を使用して半導体構造を製造する装置および方法 Active JP7274461B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762557501P 2017-09-12 2017-09-12
US62/557,501 2017-09-12
PCT/US2018/050464 WO2019055415A1 (en) 2017-09-12 2018-09-11 APPARATUS AND METHODS FOR MANUFACTURING SEMICONDUCTOR STRUCTURES USING A PROTECTIVE BARRIER LAYER

Publications (3)

Publication Number Publication Date
JP2020533803A JP2020533803A (ja) 2020-11-19
JP2020533803A5 JP2020533803A5 (ja) 2021-10-21
JP7274461B2 true JP7274461B2 (ja) 2023-05-16

Family

ID=65724027

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020514961A Active JP7274461B2 (ja) 2017-09-12 2018-09-11 保護バリア層を使用して半導体構造を製造する装置および方法

Country Status (7)

Country Link
US (1) US11177128B2 (ja)
JP (1) JP7274461B2 (ja)
KR (1) KR102659317B1 (ja)
CN (1) CN111095524B (ja)
SG (1) SG11202001450UA (ja)
TW (1) TWI697050B (ja)
WO (1) WO2019055415A1 (ja)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111261634A (zh) * 2020-02-10 2020-06-09 无锡拍字节科技有限公司 一种存储器件的制造设备及其方法
US11791155B2 (en) 2020-08-27 2023-10-17 Applied Materials, Inc. Diffusion barriers for germanium
TWI749955B (zh) * 2020-09-28 2021-12-11 天虹科技股份有限公司 減少非輻射復合的微發光二極體的製作方法及製作機台
CN117546277A (zh) * 2021-08-23 2024-02-09 株式会社国际电气 半导体装置的制造方法、基板处理方法、基板处理装置以及程序

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003188182A (ja) 2001-12-17 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
JP2005347636A (ja) 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
JP2012231007A (ja) 2011-04-26 2012-11-22 Elpida Memory Inc 半導体装置の製造方法
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
JP2013533639A (ja) 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
US20160336405A1 (en) 2015-05-11 2016-11-17 Applied Materials, Inc. Horizontal gate all around and finfet device isolation

Family Cites Families (354)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
JP2002541664A (ja) 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6906761B2 (en) 2001-09-19 2005-06-14 Keiwa Inc. Reflection sheet and backlight unit using the same
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP2006526125A (ja) 2003-05-13 2006-11-16 アプライド マテリアルズ インコーポレイテッド 処理チャンバの開口を封止するための方法および装置
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
KR100536809B1 (ko) * 2004-06-22 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
KR101560705B1 (ko) 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
CN101330035B (zh) * 2007-06-18 2010-05-19 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其制造方法
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
CN101835521A (zh) 2007-10-26 2010-09-15 应用材料公司 利用改进燃料线路的用于智能减废的方法与设备
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
KR101892467B1 (ko) 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102598285B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
CN102214609A (zh) * 2010-04-07 2011-10-12 中国科学院微电子研究所 一种半导体器件及其制造方法
KR101877377B1 (ko) 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
TWI614102B (zh) 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG10201804322UA (en) 2013-08-21 2018-07-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US9401397B1 (en) 2015-05-11 2016-07-26 International Business Machines Corporation Reduction of defect induced leakage in III-V semiconductor devices
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
JP6802191B2 (ja) 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サセプタの位置付け及び回転装置、並びに使用の方法
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
KR102476764B1 (ko) * 2015-12-23 2022-12-14 에스케이하이닉스 주식회사 소자분리구조 및 그 제조 방법
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
EP3520136A4 (en) 2016-09-30 2020-05-06 Applied Materials, Inc. METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
WO2018194807A1 (en) 2017-04-21 2018-10-25 Applied Materials, Inc. Improved electrode assembly
KR20190137935A (ko) 2017-05-01 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 진공 격리 및 사전-프로세싱 환경을 갖는 고압 어닐링 챔버
WO2018204651A1 (en) 2017-05-03 2018-11-08 Applied Materials, Inc. Integrated substrate temperature measurement on high temperature ceramic heater
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
CN110637353A (zh) 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003188182A (ja) 2001-12-17 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
JP2005347636A (ja) 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
JP2013533639A (ja) 2010-07-30 2013-08-22 アプライド マテリアルズ インコーポレイテッド 流動性cvdによる間隙充填用の酸化物を多く含むライナ層
JP2012231007A (ja) 2011-04-26 2012-11-22 Elpida Memory Inc 半導体装置の製造方法
US20160336405A1 (en) 2015-05-11 2016-11-17 Applied Materials, Inc. Horizontal gate all around and finfet device isolation

Also Published As

Publication number Publication date
JP2020533803A (ja) 2020-11-19
US20200388486A1 (en) 2020-12-10
WO2019055415A1 (en) 2019-03-21
US11177128B2 (en) 2021-11-16
CN111095524A (zh) 2020-05-01
TW201923901A (zh) 2019-06-16
KR102659317B1 (ko) 2024-04-18
TWI697050B (zh) 2020-06-21
KR20200042009A (ko) 2020-04-22
SG11202001450UA (en) 2020-03-30
CN111095524B (zh) 2023-10-03

Similar Documents

Publication Publication Date Title
US11145761B2 (en) Horizontal gate all around and FinFET device isolation
JP7274461B2 (ja) 保護バリア層を使用して半導体構造を製造する装置および方法
KR101850666B1 (ko) 저온에서 얇은 에피택셜 필름들을 성장시키는 방법
US10269571B2 (en) Methods for fabricating nanowire for semiconductor applications
US8334198B2 (en) Method of fabricating a plurality of gate structures
US8815685B2 (en) Methods for fabricating integrated circuits having confined epitaxial growth regions
KR101849499B1 (ko) 반도체 디바이스의 fin 구조물, 그 제조 방법, 및 반도체 디바이스의 활성 영역의 제조 방법
US20110277934A1 (en) Methods of selectively depositing an epitaxial layer
JP2009021608A (ja) 不揮発性メモリデバイス用インターポリ誘電体を形成するための統合スキーム
US10727064B2 (en) Post UV cure for gapfill improvement
TWI737007B (zh) 積體電路裝置及其形成方法
US20200105589A1 (en) Different Isolation Liners for Different Type FinFETs and Associated Isolation Feature Fabrication
TW202018843A (zh) 用於矽-鍺預清潔之方法與設備
JP2006520540A (ja) 歪みシリコンプロセス用にシャロウトレンチ分離を形成するプロセス
US20220130979A1 (en) Semiconductor Device and Method for Manufacture
TWI843623B (zh) 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
CN108987476A (zh) 半导体结构及其形成方法
TW202418374A (zh) 用於cmos元件的接觸層之選擇性覆蓋
KR20240082287A (ko) 수평 게이트 올 어라운드 및 finfet 디바이스 격리
TW202333240A (zh) 半導體裝置及其形成方法

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210909

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20210909

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20221014

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20221017

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230116

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230317

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230330

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230501

R150 Certificate of patent or registration of utility model

Ref document number: 7274461

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150