TWI697050B - 使用保護阻障層製造半導體結構的設備及方法 - Google Patents

使用保護阻障層製造半導體結構的設備及方法 Download PDF

Info

Publication number
TWI697050B
TWI697050B TW107132041A TW107132041A TWI697050B TW I697050 B TWI697050 B TW I697050B TW 107132041 A TW107132041 A TW 107132041A TW 107132041 A TW107132041 A TW 107132041A TW I697050 B TWI697050 B TW I697050B
Authority
TW
Taiwan
Prior art keywords
layer
chamber
annealing
substrate
silicon
Prior art date
Application number
TW107132041A
Other languages
English (en)
Other versions
TW201923901A (zh
Inventor
帕拉米特 曼納
亞伯希吉特巴蘇 馬禮克
寇迪斯 勒施基斯
史帝文 維哈佛貝可
江施施
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201923901A publication Critical patent/TW201923901A/zh
Application granted granted Critical
Publication of TWI697050B publication Critical patent/TWI697050B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68707Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a robot blade, or gripped by a gripper for conveyance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76837Filling up the space between adjacent conductive structures; Gap-filling properties of dielectrics

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Robotics (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

提供形成包括含矽(Si)層或矽鍺(SiGe)層的半導體結構的方法。此方法包括在半導體結構上方沉積保護阻障(例如,襯墊)層,在襯墊層上方形成可流動介電層,及將可流動介電層暴露於高壓蒸汽。一種群集系統包括設置以形成半導體結構的第一沉積腔室、設置以執行襯墊沉積處理而形成襯墊層的第二沉積腔室、設置以在襯墊層上方形成可流動介電層的第三沉積腔室、設置以將可流動氧化物層暴露於高壓蒸汽的退火腔室。

Description

使用保護阻障層製造半導體結構的設備及方法
本發明的實施例大體上關於使用保護阻障(例如,襯墊)層製造半導體結構的方法及設備。
形成在半導體裝置中溝槽的寬度已經變窄使得溝槽深度對於溝槽寬度的深寬比變得高到足以使得以介電材料填充溝槽成為具挑戰性。在低溫下沉積並在高壓蒸汽下退火的可流動介電材料(諸如矽氧化物(SiOx ))可以優良的氧化物材料填充高深寬比溝槽,而不形成任何縫隙或孔洞。然而,暴露至蒸汽的任何下方材料(諸如矽(Si))會轉變為氧化物材料,影響下方層的品質。轉變的氧化物之厚度可為數埃(Å)。例如,當暴露於高壓蒸汽時,矽鍺(SiGe)材料會特別地易於氧化。
因此,本領域中有著對於解決上述問題的製造方法與設備的需求。
本發明的實施例大體上關於使用保護阻障(例如,襯墊)層製造半導體的方法及設備。
在一具體例中,提供一種處理基板的方法。此方法包括在基板上形成半導體結構,其中半導體結構包括含矽(Si)層或矽鍺(SiGe)層。此方法也包括執行襯墊沉積處理以在半導體結構上方形成襯墊層。此方法也包括執行可流動層沉積處理以在襯墊層上方沉積可流動層。此方法也包括藉由將可流動層的一表面暴露於高壓蒸汽執行退火處理,其中襯墊層防止在退火處理期間之下方含Si層或SiGe層的氧化,襯墊層的至少一部分藉由在退火處理期間的氧化而逐漸減少。
在另一具體例中,提供一種能處理基板的群集系統。此群集系統包括第一沉積腔室,設置以在基板上形成半導體結構,其中半導體結構包括含矽(Si)層或矽鍺(SiGe)層。第二沉積腔室設置以在半導體結構上方形成襯墊層。第三沉積腔室設置以在襯墊層上方形成可流動層。退火腔室設置以藉由將可流動氧化物層暴露於高壓蒸汽執行退火處理,其中襯墊層防止在退火處理期間之下方含Si層或SiGe層的氧化。襯墊層的至少一部分藉由在退火處理期間的氧化而逐漸減少。
本發明的實施例大體上關於使用保護阻障(例如,襯墊)層製造半導體結構的方法及設備。特別是,本文所述方法包括形成包括含矽(Si)層或矽鍺(SiGe)層的半導體結構、在半導體結構上方沉積襯墊層、在襯墊層上方形成可流動層、及將可流動層暴露於高壓蒸汽,其中襯墊層在退火處理期間防止下方含Si層或SiGe層的氧化,且襯墊層的至少一部分藉由在退火期間的氧化而逐漸減少。
圖1繪示顯示根據本發明的一具體例之用於在半導體結構上方形成可流動介電層的製造處理100。製造處理100可為半導體裝置(例如,包括平坦結構、鰭式場效電晶體(FinFET)結構或水平環繞式閘極(horizontal gate-all-around;hGAA)結構)的多操作製造處理的一部分。製造處理100的各操作可表現為程式碼的模組、區段、或部分,其包含一或多個可執行指令以實行特化邏輯功能。在某些實施例中,製造處理的操作可同時地發生、實質上同時地發生、或以不同於圖1所示的次序而發生。製造處理100的各操作與操作的組合可藉由特殊用途之硬體系統而實行,特殊用途之硬體系統執行特化功能或動作、或特殊用途之硬體與電腦指令的組合。
製造處理開始於操作102,其中半導體結構形成在基板上。半導體結構包括含矽材料的一或多層,諸如矽(Si)材料或含矽鍺(SiGe)材料。含Si層或SiGe層可磊晶地成長在基板的一表面上方。
基板可為能使材料沉積於其上的任何基板,諸如矽基板,例如矽(摻雜或未摻雜)、結晶矽、氧化矽、摻雜或未摻雜多晶矽、或類似物、鍺基板、矽鍺(SiGe)基板、III-V化合物基板,諸如砷化鎵基板、碳化矽(SiC)基板、圖案化或未圖案化之絕緣體上半導體(SOI)基板、碳摻雜氧化物、氮化矽、諸如液晶顯示器(LCD)的顯示器基板、電漿顯示器、電致發光(EL)燈顯示器、太陽能陣列、太陽能板、發光二極體(LED)基板、玻璃、藍寶石、或任何其他材料,諸如金屬、金屬合金、及其他導電材料。一或多個電子裝置,諸如各種N型金屬氧化物半導體(NMOS)及/或P型金屬氧化物半導體(PMOS)裝置,諸如電晶體、電容器、電阻器、二極體、光二極體、保險絲、及類似物可形成在基板中。料想基板不侷限於任何特定尺寸或形狀。例如,基板可為圓形基板,具有200 mm直徑、300 mm直徑、或其他直徑,諸如450 mm,等等。基板也可為任何多邊形、方形、矩形、曲形、或者非圓形工件。
在操作104,半導體基板被圖案化與蝕刻以在基板上形成特徵,諸如溝槽或間隙。例如,半導體基板可在微影系統中圖案化與在蝕刻腔室中蝕刻。在一具體例中,諸如極紫外光圖案化處理的光微影處理可用於處理半導體結構。在一具體例中,被蝕刻進半導體結構中的溝槽或間隙的深寬比為約1:1、約2:1、約3:1、約5:1、約10:1、約15:1、約20:1、約30:1、約50:1、約100:1、或更大。
在一具體例中,溝槽或間隙的深寬比在約10:1與約30:1之間,例如約15:1。用語「深寬比」指稱例如形成在基板中的溝槽或間隙之特定特徵的高度尺寸對於寬度尺寸的比例。
在操作106,保護阻障(例如,襯墊)層形成在半導體結構的側壁上,同時基板定位在沉積腔室中。在一具體例中,例如藉由化學氣相沉積、原子層沉積、或磊晶沉積而沉積襯墊層。在另一具體例中,襯墊層藉由合適處理形成(即,成長),諸如熱氧化處理或熱氮化處理。襯墊層防止在可流動介電層沉積之沉積期間與退火處理期間之半導體結構或基板的下方層(例如,含Si層或SiGe層)的氧化。
在一具體例中,襯墊層可由氧化物材料、氮化物材料、或氮氧化物系材料所形成。例如,襯墊材料可為氧化矽(SiO2 )、氮化矽(Si3 N4 ,也簡稱SiN)、或矽氮氧化物(SiOx Ny ),諸如SiON或Si2 N2 O。在一具體例中,藉由使用沉積腔室之可流動化學氣相沉積(CVD)處理沉積氧化物材料。合適的沉積腔室可包括高密度電漿CVD腔室、電漿增強CVD腔室、次大氣壓CVD腔室、或類似物。可適用於形成可流動氧化物或氮化物層的合適設備的實例包括PRODUCER® 系統或ULTIMA HDP CVD® 系統,兩者可由加州聖克拉拉的應用材料公司取得。料想也可使用其他合適沉積腔室,包括那些來自其他製造者的腔室。
在操作108,可流動介電層形成在半導體結構的襯墊層上方。本發明的可流動介電層可包括任何介電層。在一具體例中,介電層是含矽層,其可包括但不限於SiC、SiO、SiCN、SiO2 、SiOC、SiOCN、SiON或SiN。在一實例中,為了形成可流動介電層,含矽前驅物、氧系自由基前驅物、及氮系自由基前驅物導入沉積腔室,以在基板上方形成可流動介電層。額外地或可替換地,可流動介電層可不含有可追蹤的量之碳(即,為無碳的或實質上無碳的)。
可流動介電層可沉積在基板的暴露表面上及進入形成於基板之中的溝槽或間隙中。介電層的可流動性會至少部分地係由於沉積層中的短鏈聚矽氮烷聚合物的存在。例如,沉積層可具有矽氮烷型,Si—NH—Si主幹(即,Si—N—H層)。容許短鏈聚合物的形成與可流動性的氮可源自於自由基前驅物或含矽前驅物。介電層的可流動性使得介電層能填充具有高深寬比的溝槽或間隙,而不在溝槽中創造孔隙。尤其是,可流動介電層以在溝槽的側壁上最少的沉積由下往上地填充溝槽。介電層的可流動性隨著可流動介電層的沉積進行而減少。介電層的可流動性在隨後的退火處理期間被移除。
在一具體例中,合適的含矽前驅物包括有機矽化合物,具有氧對矽原子的比例為約0至約6。合適的有機矽化合物可包括矽氧烷化合物、包括一或多個鹵素部分(例如,氟、氯、溴、或碘)的鹵化矽氧烷化合物,諸如四氯矽烷、二氯二乙氧基矽氧烷(dichlorodiethoxysiloxane)、氯三乙氧基矽氧烷(chlorotriethoxysiloxane)、六氯二矽氧烷(hexachlorodisiloxane)、及/或八氯三矽氧烷(octachlorotrisiloxane)、及氨基矽烷類,諸如三矽烷胺(trisilylamine;TSA)、六甲基二矽氮烷(hexamethyldisilazane;HMDS)、雜氮矽三環(silatrane)、四(二甲基氨基)矽烷(tetrakis(dimethylamino)silane)、雙(二乙基氨基)矽烷(bis(diethylamino)silane)、三(二甲氨基)氯矽烷(tris(dimethyl-amino)chlorosilane)、及甲基雜氮矽三環(methylsilatrane)。也可使用其他含矽前驅物,諸如矽烷、鹵化矽烷、有機矽烷、及前述物的任何組合。矽烷可包括甲矽烷(SiH4)及更高階的矽烷,帶有實驗式SixH(2x+2),諸如乙矽烷(Si2 H6 )、三矽烷(Si3 H8 )、及四矽烷(Si4 H10 )、或其他更高階的矽烷,諸如聚氯矽烷(polychlorosilane)。
氧系自由基前驅物可包括氧自由基,其由以下物種形成:氧(O2 )、臭氧(O3 )、氮氧化合物,諸如NO、NO2 、或N2 O、氫氧化合物,諸如水或過氧化物、碳氧化合物,諸如一氧化碳或二氧化碳、與其他含氧前驅物、及前述物的任何組合。氧自由基可遠端地產生並與含矽前驅物導入。在導入沉積腔室之前,可活化氧系自由基前驅物,例如使用遠端電漿源,其可具有CCP(電容耦合電漿)或ICP(感應耦合電漿)組態。
氮系自由基前驅物可包括氮自由基,其由以下物種形成:氮(N2 )、一氧化二氮(N2 O)、一氧化氮(NO)、二氧化氮(NO2 )、氨(NH3 )、及前述物的任何組合。氮自由基可遠端地產生並與含矽前驅物及氧系自由基前驅物導入。在導入沉積腔室之前,可活化氮系自由基前驅物,例如使用遠端電漿源,其可具有CCP(電容耦合電漿)或ICP(感應耦合電漿)組態。
在某些實施例中,氧系自由基前驅物以第一容積流率流入沉積腔室而含矽前驅物以第二容積流率流入沉積腔室。在一具體例中,第一容積流率對第二容積流率的比率在約0.3:1與約0.9:1之間,諸如約0.5:1至約0.7:1之間,例如約0.6:1。
在某些實施例中,氮系自由基前驅物以第一容積流率流入沉積腔室而含矽前驅物以第二容積流率流入沉積腔室。在一具體例中,第一容積流率對第二容積流率的比率在約0.2:1與約0.8:1之間,諸如約0.4:1至約0.6:1之間,例如約0.5:1。
料想若使用含有氧與氮自由基兩者的自由基前驅物,則可省略氧系自由基前驅物或氮系自由基前驅物。
含矽前驅物、氧系自由基前驅物、及氮系自由基前驅物可流入沉積腔室並反應於溫度在攝氏約0度與約100度之間,例如攝氏約65度。在可流動介電層的形成期間,沉積腔室的壓力可維持在約0.1托與約10托之間,例如約0.5托與約6托之間。
在操作110,半導體結構在退火腔室中經受高壓退火處理。在退火處理之後,可流動介電層展現更高的密度、更佳的穩定性、及可耐受更高的溫度。在一具體例中,在退火處理之前可執行可選的固化處理。
在高壓退火處理110的期間,將退火氣體導入具有基板定位於其中的退火腔室。在一具體例中,退火氣體包括氧成分。退火氣體也可包括氫成分。在一具體例中,退火氣體包括蒸汽及/或蒸汽與氧的混合物的一者。在一具體例中,退火氣體進一步包括以下的一者:臭氧、氧、水蒸氣、重水、過氧化物、含氫氧化物化合物、氧同位素(14、15、16、17、18、等等)、及氧的非同位素及/或水。過氧化物可為氣態的過氧化氫。在某些具體例中,退火氣體是包含氫氧離子的氧化劑,諸如但不限於水蒸氣或蒸氣態(例如,蒸汽)的重水。
在一實例中,退火氣體是乾燥蒸汽或過熱蒸汽。乾燥蒸汽當進入退火腔室時會變成過熱蒸汽。在處理半導體基板的退火腔室的內部表面的溫度被維持以防止退火氣體的凝結。例如,暴露於退火氣體的退火腔室的表面的溫度維持在攝氏約200度與攝氏約600度之間。
在退火處理期間,退火腔室內退火氣體的壓力維持在約1巴與約60巴之間。例如,退火腔室內退火氣體的壓力維持在約2巴之上,諸如例如大於約10巴。在另一實例中,退火腔室內退火氣體維持在約10巴與約60巴之間的壓力,諸如約20巴與約50巴之間。退火處理110的處理時間(例如,持溫時間)可在約5分鐘與約120分鐘之間,諸如約30分鐘與90分鐘之間。
圖2A至2F繪示根據本發明的一具體例之在各製造操作執行之後的半導體結構的一部分的圖解剖面視圖。
圖2A繪示在複數層沉積在基板202上方之後的半導體結構200A的一部分的圖解剖面視圖。在一具體例中,基板202可為塊體半導體基板,其中基板包括半導體材料。塊體半導體基板可包含任何合適半導體材料及/或半導體材料的組合用於形成半導體結構。在一具體例中,基板202的半導體材料包含矽材料。在某些具體例中,基板202的半導體材料是摻雜材料,諸如n摻雜矽(n-Si)或p摻雜矽(p-Si)。
半導體結構200A包括複數層。在一具體例中,半導體結構200A包括第一層204、第二層206、及第三層208。第二層206可由至少一III-V材料所形成,諸如矽鍺(SiGe)材料。在一實例中,第二層206具有鍺含量為約10%與約50%之間,諸如約20%與約40%之間。第二層206的矽含量可為約50%與90%之間,諸如約60%與約80%之間。在一具體例中,使用磊晶化學氣相沉積處理沉積第二層206。
在一具體例中,第一層204由含矽材料所形成,而第三層208由二氧化矽所形成。在另一具體例中,當半導體結構200A由含SiGe材料所製造時,第一層204、第二層206及第三層208的每一者為含SiGe層。在又另一具體例中,第一層204與第三層208由任何合適材料所形成,取決於半導體結構的功能。
圖2B繪示半導體結構200B的一部分的圖解剖面視圖。繪示在圖2B中的半導體結構200B對應於在執行圖案化處理與蝕刻處理之後的繪示在圖2A中的半導體結構200A。半導體結構200B的邊緣已被蝕刻。因此,溝槽與間隙可形成在相鄰半導體結構之間,諸如半導體結構200B與相鄰半導體結構。
在一具體例中,諸如極紫外光圖案化處理的光微影處理可用於蝕刻半導體結構200A。在另一具體例中,可使用自對準雙重或四重圖案化處理以圖案化半導體結構200A。
用以蝕刻半導體結構200A的一範例蝕刻處理是反應性離子蝕刻(RIE)處理。料想可使用類似與其他蝕刻處理。在一具體例中,可執行RIE處理使用氯、溴、或氟系化學品以非等向地蝕刻半導體結構200A。
圖2C繪示半導體結構200C的一部分的圖解剖面視圖。半導體結構200C類似於圖2B中的半導體結構200B,但半導體結構200C包括經由襯墊沉積處理沉積在半導體結構200B上方的襯墊層210。襯墊層210可由矽氮化物(SiN)或矽氮氧化物(SiOx Ny )所形成,諸如SiON或Si2 N2 O。
在襯墊層210上執行退火處理,其將襯墊層210逐漸地轉變成氧化物。襯墊層210至氧化物的轉變速率取決於各種因素,諸如退火溫度、蒸汽壓力、可流動介電層的性質(例如,材料類型與厚度)、退火氧化劑的性質(例如,氧化劑類型與濃度)、及/或退火時間。襯墊層210的厚度在退火處理期間可改變。退火處理的性質會影響襯墊層210的厚度。例如,隨著退火溫度、蒸汽壓力、退火時間及/或可流動介電層厚度的增加,會增加襯墊層210的厚度。再者,隨著退火溫度、蒸汽壓力、退火時間、及/或可流動介電層厚度的減少,會減少襯墊層210的厚度。
若整個襯墊層210在退火處理之前完全地氧化,下方的第三層208與第二層206會開始氧化,造成第三層208與第二層206的縮減品質。因此,將沉積的襯墊層210的厚度被決定以在後續處理期間(諸如,可流動氧化物沉積處理與退火處理)提供下方的第三層208與第二層206對於氧化的足夠防護。另一方面,襯墊層210的厚度應薄到足以達到半導體積體電路的密度。
可基於留存在退火處理的結束處的襯墊210的厚度而決定襯墊層210的厚度。在一具體例中,襯墊層210的厚度可留存為零(0)或實質上接近於零(0)。在另一具體例中,留存的襯墊層210的厚度可在特定範圍中,例如,在約1Å與約30Å之間,取決於半導體積體電路的尺寸要求及/或效能要求,諸如功率消耗、操作速度、或密度。
在一具體例中,襯墊層201的起始寬度可在約5Å與約100Å之間,諸如約20Å與約30Å之間,例如,約25Å。料想襯墊層210可適用於在後續退火處理期間防止層204、206、208的氧化。因此,襯墊層210應沉積帶有一厚度,其在後續處理期間(諸如,可流動氧化物沉積處理及/或退火處理)提供下方的含Si層或SiGe層對於氧化的足夠保護。又,襯墊層210的厚度應決定為使得在後續處理之後的襯墊層210的厚度達到半導體積體電路的尺寸要求。在一具體例中,可基於如之後參照圖3所述的襯墊層210的完成厚度而決定襯墊層210的厚度。
圖2D繪示基板202與半導體結構200D的一部分的圖解剖面視圖。半導體結構200D是在介電材料層212沉積之後的繪示在圖2C的半導體結構200C。在一具體例中,介電材料層212是可流動介電層。可流動介電層由介電材料形成,諸如氧化矽材料。介電材料層212可使用高密度電漿CVD系統、電漿增強CVD系統、及/或次大氣壓CVD系統、等等的其他系統而形成。可適用於形成介電材料層212的CVD的實例包括ULTIMA HDP CVD® 系統及PRODUCER® ETERNA CVD® 系統,兩者可由加州聖克拉拉的應用材料公司取得。料想也可使用來自其他製造者的其他合適CVD系統。
圖2E與2F分別繪示半導體結構200E與200F的一部分的圖解剖面視圖。半導體結構200E與200F對應於在執行退火處理之後的圖2D所繪示的半導體結構200D。執行退火處理以將介電材料層212緻密成目標層的組成與品質。在退火處理期間,襯墊層210逐漸地轉變成氧化物。當發生襯墊層210的氧化時,襯墊層210的厚度與寬度減少。在一具體例中,一部分的襯墊層210維持在退火處理後,如圖2E所示。在另一具體例中,整個襯墊層210被氧化,如圖2F所示。
在一具體例中,退火處理包括乾燥蒸汽退火處理。蒸汽退火處理可執行在攝氏約200度與攝氏約600度之間,諸如攝氏約400度與攝氏約500度之間。蒸汽退火處理可執行持續約5分鐘與約120分鐘之間的時間量,例如,約100分鐘。在一具體例中,可執行乾式退火處理持續約60分鐘。
在另一具體例中,可使用溼式蒸汽退火處理與乾式退火處理兩者。在此具體例中,可在溼式蒸汽退火處理之後執行乾式退火處理。
圖3繪示根據本發明的一具體例的在執行退火處理之後帶有多個層沉積於上的半導體結構350、352、354、356、358、及360的剖面視圖。執行在半導體結構350、352、354、356、358、及360上的退火處理的結果可用於決定襯墊層的厚度,其在後續退火處理期間提供下方的含Si層或SiGe層對於氧化的足夠保護。
半導體結構350、352、及354繪示實行在攝氏400度的溫度、30巴的壓力、1小時的處理時間、及小於2.5的溼式蝕刻速率比率(WERR)的退火處理的結果。半導體結構350包括暴露於上述退火處理的SiO層302與SiGeOx層304。在退火處理之前,SiO層302具有約2400Å的厚度而SiGe層具有約1024Å的厚度。在退火處理之後,SiGe層轉變成SiGe氧化(SiGeOx)層304。
半導體結構352包括安置在帶有約100Å厚度的氮化矽(SiN)層308之上的帶有約2230Å厚度的SiO層306。SiN層308安置在帶有約460Å厚度的SiGe層310之上。在退火處理之後,小部分的SiN層308被氧化。然而,SiN層308相較於半導體結構350的SiGeOx層304實質上減少SiGe層310的氧化量,使得SiGe層310實質上沒有發生氧化。
半導體結構354包括安置在帶有約20Å厚度的SiN層314之上的帶有約2230Å厚度的SiO層312。SiN層安置在帶有約460Å厚度的SiGe層316之上。在退火處理之後,小部分的SiN層314被氧化。然而,SiN層314相較於半導體結構350的SiGeOx層304實質上減少SiGe層316的氧化量,使得SiGe層316實質上沒有發生氧化。
缺少在半導體結構352之上的SiGe層310的氧化與缺少在半導體結構354之上的SiGe層316的氧化指示出帶有約20Å或更大厚度的SiN層在上述的退火處理期間實質上減少具有帶有約2200Å厚度的SiO層之半導體結構的下方SiGe層的氧化。
半導體結構356、358、及340顯示實行在攝氏450度之溫度、30巴之壓力、1小時之處理時間、及小於2.0之WERR的退火處理的結果。半導體結構356包括安置在帶有約100Å厚度的SiN層322之上的帶有2230Å厚度的SiO層320。SiN層322安置在帶有約479Å厚度之SiGe層324之上。在退火處理之後,小部分的SiN層322被氧化。然而,SiGe層324保持完整而實質上沒有氧化。
半導體結構358包括安置在帶有約30Å厚度的SiN層328之上的帶有2400Å厚度的SiO層326。SiN層328安置在帶有約460Å厚度之SiGe層330之上。在退火處理之後,整個SiN層328被氧化。然而,SiGe層330實質上保持完整而實質上沒有氧化。
半導體結構360包括安置在帶有約20Å厚度的SiN層334之上的帶有約2190Å厚度的SiO層332。SiN層334安置在帶有約620Å厚度(在退火處理之前)的SiGe層之上。在退火處理之後,大部分的SiN層334被氧化。再者,帶有厚度約280Å的SiGe層的一部分(即,SiGeOx層336)被氧化。未被氧化的SiGe層338的殘留部分具有厚度約340Å。
缺少分別在半導體結構356與358的SiGe層324與330的氧化,及關於SiGeOx層304之小SiGeOx層336指示出帶有約30Å或更大厚度的SiN層在上述的退火處理期間實質上減少包括帶有約2400Å厚度的SiO層之半導體結構的下方SiGe層的氧化。
圖4為根據本發明的一具體例之可用於執行圖1所示的製造處理的處理系統480的圖解頂視圖。群集系統480為包含多個腔室(例如,處理腔室490A-490D、服務腔室491A-491B、或類似物)的模組系統,該等腔室執行各種功能,包括:基板中心找尋及定位、除氣、退火、沉積、蝕刻、及類似物。
群集系統480的處理腔室490A-490D包括沉積腔室、蝕刻腔室、電漿腔室、及退火腔室,設置以執行至少部分的製造處理100,且可進一步包括諸如離子佈植腔室的腔室及類似物。
腔室490A-490D包括處理腔室,其包含在腔室中形成處理容積的腔室壁、在處理容積內支撐基板的基板支撐件、在處理容積中調節壓力的壓力調節器、提供氣體至處理容積的氣體入口、及從處理容積排出氣體的氣體出口。
電漿腔室包括至少一電極以提供功率於電漿腔室封閉,用於在其中產生與維持電漿。電漿腔室也包括電氣耦接於至少一電極的至少一RF電源。
蝕刻腔室包括蝕刻氣體源以供給蝕刻氣體進入處理腔室。沉積腔室包括前驅物氣體源以引導反應氣體進入處理腔室。退火腔室包括退火氣體源以引導退火氣體進入處理腔室。離子佈植腔室包含電弧腔室、定位在電弧腔室內的燈絲、及定位在燈絲與電弧腔室之間的推斥極(repeller)結構。
實行本發明的具體例的群集系統480包括第一沉積腔室,設置以在基板上形成半導體結構,其中半導體結構包括含矽(Si)層或矽鍺(SiGe)層。群集系統480也包括蝕刻腔室,設置以蝕刻圖案化半導體結構,與第二沉積腔室,設置以執行襯墊沉積處理以在半導體結構上方形成襯墊層。群集系統480的第三沉積腔室設置以執行可流動層沉積處理以在襯墊層上方形成可流動層。群集系統480的退火腔室設置以執行退火處理,藉由將可流動層暴露於高壓蒸汽。群集系統480進一步包括微影裝置以使用極紫外光圖案化半導體結構。
群集系統480的多個腔室490A-490D安裝於中央真空傳送腔室488,其容納適用於在腔室490A-490D之間傳送基板的機器人489。真空傳送腔室488保持在真空狀態並提供將基板從一腔室傳送至另一腔室及/或定位在群集系統480的前端的裝載閘腔室484的中間階段。前端環境483定位以選擇性連通裝載閘腔室484。定位在前端環境483中的艙裝載器485能線性及旋轉移動(箭頭482)以在裝載閘腔室484與安裝在前端環境483上的複數個艙487之間傳送基板匣。
群集系統480也包括控制器481,其被編程以執行實行在群集系統880中的各種處理方法。例如,控制器481可設置以控制來自氣體源的各種前驅物與處理氣體的流動並控制關於材料沉積或蝕刻處理的處理參數。控制器481包括可編程中央處理單元(CPU)479,其可操作帶有記憶體477、及大量儲存裝置、輸入控制單元、及顯示器單元(未示出),諸如電源、時鐘、快取、輸入/輸出(I/O)電路、及類似物耦接於群集系統480的各種部件,以助於控制基板處理。控制器481也包括透過群集系統480中的感測器監測基板處理的硬體。量測諸如基板溫度、腔室大氣壓力、及類似物的系統參數之其他感測器也可提供資訊於控制器481。
為了促進上述群集系統480的控制,CPU 479可為可用在工業設定的任何形式的通用電腦處理器的一種,諸如可編程邏輯控制器(PLC),用於控制各種腔室與子處理器。記憶體477耦接於CPU 479且記憶體477為非暫態並可為一或多種易於取得的記憶體,諸如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟機、硬碟、或任何其他形式的數位存儲,本端或遠端的。支援電路475耦接於CPU 479,用於以習知方式支援處理器。沉積、蝕刻、退火、及其他處理通常儲存在記憶體477中,典型地為軟體程序。軟體程序也可被第二CPU(未示出)儲存及/或執行,第二CPU位於被CPU 479控制的硬體之遠端。
記憶體477為電腦可讀儲存媒體的形式,其含有當藉由CPU 479實行時,助於群集系統480的操作之指令。記憶體477中的指令為程式產品的形式,諸如實行本發明的方法之程式。程式碼可遵照若干不同程式語言的任一種。在一實例中,本發明可被實行為儲存在用於電腦系統的電腦可讀儲存媒體上的程式產品。程式產品的程式包括具體例的功能(包括本文所述的方法)。示例性電腦可讀儲存媒體包括但不限於:(i)非可寫式儲存媒體(例如,電腦內的唯讀記憶體裝置,諸如可藉由CD-ROM機讀取的CD-ROM碟片、快閃記憶體、ROM晶片或任何類型的固態非揮發半導體記憶體),在其上的資訊被永久儲存;及(ii) 可寫式儲存媒體(例如,碟片儲存或硬碟機時任何類型的固態隨機存取半導體記憶體),在其上儲存可變動的資訊。當執行指示本文所述的方法的功能之電腦可讀指令時,此種電腦可讀儲存媒體為本發明的具體例。
儘管前述關於本發明的實施例,在不背離本發明的基本範疇可構想到本發明的其他與進一步實施例,本發明的範疇藉由隨後的申請專利範圍所決定。
100‧‧‧製造處理102、104、106、108‧‧‧操作110‧‧‧退火處理200A~200F‧‧‧半導體結構202‧‧‧基板204‧‧‧第一層206‧‧‧第二層208‧‧‧第三層210‧‧‧襯墊層212‧‧‧介電材料層302、306、312、320、326、332‧‧‧SiO層304、336‧‧‧SiGeOx層308、314、322、328、334‧‧‧SiN層310、316、324、330、338‧‧‧SiGe層350、352、354、356、358、360‧‧‧半導體結構475‧‧‧支援電路477‧‧‧記憶體479‧‧‧CPU480‧‧‧群集系統481‧‧‧控制器482‧‧‧可旋轉移動箭頭483‧‧‧前端環境484‧‧‧裝載閘腔室485‧‧‧艙裝載器487‧‧‧艙488‧‧‧中央真空傳送腔室489‧‧‧機器人490A~490D‧‧‧處理腔室491A、491B‧‧‧服務腔室
為了可詳細地理解本發明的上述特徵,可藉由參照實施例,某些實施例繪示在隨附圖式中,而獲得簡短總結於上之本發明更明確的說明。然而將注意到隨附圖式僅繪示本發明的典型實施例,且因而不被認為限制本發明的範疇,由於本發明可容許其他等效的實施例。
圖1繪示顯示根據本發明的一具體例之在半導體結構上方形成可流動介電層的製造處理的流程圖。
圖2A至2F繪示根據本發明的一具體例之在圖1之每一個製造操作執行之後的半導體結構之一部分的圖解剖面視圖。
圖3繪示根據本發明的一具體例之在執行退火處理之後,帶有多個層之各種組合沉積於上之半導體結構的圖解剖面視圖。
圖4為根據本發明的一具體例之可用於執行參照圖1所說明之製造處理的處理系統之圖解頂視圖。
為了易於理解,已經儘可能地使用相同的元件符號指示圖式中共通的相同元件。料想揭示在一實施例中的元件可有利地使用在其他實施例中而不必明確說明。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
475‧‧‧支援電路
477‧‧‧記憶體
479‧‧‧CPU
480‧‧‧群集系統
481‧‧‧控制器
482‧‧‧可旋轉移動箭頭
483‧‧‧前端環境
484‧‧‧裝載閘腔室
485‧‧‧艙裝載器
487‧‧‧艙
488‧‧‧中央真空傳送腔室
489‧‧‧機器人
490A~490D‧‧‧處理腔室
491A、491B‧‧‧服務腔室

Claims (18)

  1. 一種處理一基板的方法,包含以下步驟:在一基板上形成一半導體結構,其中該半導體結構包括一含矽(Si)層或一矽鍺(SiGe)層;執行一襯墊沉積處理以在該半導體結構上方形成一襯墊層;執行一可流動層沉積處理以在該襯墊層上方沉積一可流動介電層;及藉由將該可流動介電層的一表面暴露於高壓蒸汽而執行一退火處理,其中藉由在該退火處理期間的氧化,該襯墊層的至少一部分逐步地減少。
  2. 如請求項1所述之方法,其中基於在退火處理完成之後留存的該襯墊層的一厚度而決定該襯墊層的一厚度。
  3. 如請求項1所述之方法,其中基於一退火時間、一退火溫度、與該可流動介電層的一厚度的至少一者而決定該襯墊層的一厚度,及其中該襯墊層的該厚度實質上為零。
  4. 如請求項1所述之方法,其中該襯墊層由氮化矽或氮氧化矽所形成。
  5. 如請求項1所述之方法,其中該可流動層沉積處理包含在攝氏0度與攝氏約100度之間的一溫度 與在約1托與約10托之間的一腔室壓力下反應一含矽前驅物與一氧系自由基前驅物,其中該含矽前驅物包括三甲矽烷胺(trisilylamine)。
  6. 如請求項1所述之方法,其中該退火處理包含在從約1巴至約60巴的一壓力、在攝氏約200度至攝氏約600度之間的一溫度、在約5分鐘與約120分鐘之間的一時間期間下,在一退火腔室中將該可流動介電層暴露於高壓蒸汽。
  7. 如請求項1所述之方法,其中該退火處理是一高壓、乾燥蒸汽退火處理。
  8. 一種處理一基板的方法,包含以下步驟:在一基板上形成一半導體結構,其中該半導體結構包括一含矽(Si)層或一矽鍺(SiGe)層;執行一襯墊沉積處理以在該半導體結構上方形成一襯墊層;執行一可流動層沉積處理以在該襯墊層上方沉積一可流動介電層;及藉由將該可流動介電層的一表面暴露於高壓蒸汽而執行一退火處理,其中該襯墊層防止在退火處理期間之該含Si層或SiGe層的氧化,該襯墊層的至少一部分藉由在該退火處理期間的氧化而逐步地減少。
  9. 如請求項8所述之方法,其中基於在退火處 理完成之後留存的該襯墊層的一厚度而決定該襯墊層的一厚度。
  10. 如請求項8所述之方法,其中基於一退火時間、一退火溫度、與該可流動介電層的一厚度的至少一者而決定該襯墊層的一厚度,及其中該襯墊層的該厚度實質上為零。
  11. 如請求項8所述之方法,其中該襯墊層由氮化矽或氮氧化矽所形成。
  12. 如請求項8所述之方法,其中該可流動層沉積處理包含在攝氏0度與攝氏約100度之間的一溫度與在約1托與約10托之間的一腔室壓力下反應一含矽前驅物與一氧系自由基前驅物,其中該含矽前驅物包括三甲矽烷胺(trisilylamine)。
  13. 如請求項8所述之方法,其中該退火處理包含在從約1巴至約60巴的一壓力、在攝氏約200度至攝氏約600度之間的一溫度、在約5分鐘與約120分鐘之間的一時間期間下,在一退火腔室中將該可流動介電層暴露於高壓蒸汽。
  14. 如請求項8所述之方法,其中該退火處理是一高壓、乾燥蒸汽退火處理。
  15. 一種能夠處理一基板的群集系統,包含:一中央真空傳送腔室; 一第一沉積腔室,耦接至該中央真空傳送腔室並設置以處理一基板;一第二沉積腔室,耦接至該中央真空傳送腔室並設置以處理該基板;一第三沉積腔室,耦接至該中央真空傳送腔室並設置以處理該基板;一退火腔室,耦接至該中央真空傳送腔室並設置以處理該基板;一機器人,安置在該中央真空傳送腔室中,該機器人設置以在該第一沉積腔室、該第二沉積腔室、該第三沉積腔室、該退火腔室、與該中央真空傳送腔室的每一者之間傳送該基板;以及一控制器,電氣地耦接至該機器人、該第一沉積腔室、該第二沉積腔室、該第三沉積腔室、該退火腔室、與該中央真空傳送腔室,該控制器設置以致使該群集系統以:在該第一沉積腔室中,在該基板上沉積一含矽(Si)層或一矽鍺(SiGe)層;經由該機器人,將基板從該第一沉積腔室傳送至該第二沉積腔室;在該第二沉積腔室中,在該含矽(Si)層或該矽鍺(SiGe)層上方沉積一襯墊層; 經由該機器人,將基板從該第二沉積腔室傳送至該第三沉積腔室;在該第三沉積腔室中,在該襯墊層上方形成一可流動介電層;經由該機器人,將基板從該第三沉積腔室傳送至該退火腔室;以及藉由在該退火腔室中將該可流動介電層暴露於高壓蒸汽,而在該退火腔室中執行一退火處理,其中基於在退火處理完成之後留存的該襯墊層的一厚度而決定該襯墊層的一厚度,及其中基於一退火時間、一退火溫度、與該可流動介電層的一厚度的至少一者而進一步決定該襯墊層的該厚度。
  16. 如請求項15所述之群集系統,其中該襯墊層的該厚度實質上為零。
  17. 如請求項15所述之群集系統,進一步包含:一蝕刻腔室,設置以在一圖案化處理後蝕刻該基板。
  18. 一種能夠處理一基板的群集系統,包含:一中央真空傳送腔室;一第一沉積腔室,耦接至該中央真空傳送腔室並設置以處理一基板;一第二沉積腔室,耦接至該中央真空傳送腔室並設 置以處理該基板;一第三沉積腔室,耦接至該中央真空傳送腔室並設置以處理該基板;一退火腔室,耦接至該中央真空傳送腔室並設置以處理該基板;一機器人,安置在該中央真空傳送腔室中,該機器人設置以在該第一沉積腔室、該第二沉積腔室、該第三沉積腔室、該退火腔室、與該中央真空傳送腔室的每一者之間傳送該基板;以及一控制器,電氣地耦接至該機器人、該第一沉積腔室、該第二沉積腔室、該第三沉積腔室、該退火腔室、與該中央真空傳送腔室,該控制器設置以致使該群集系統以:在該第一沉積腔室中,在該基板上沉積一含矽(Si)層或一矽鍺(SiGe)層;經由該機器人,將基板從該第一沉積腔室傳送至該第二沉積腔室;在該第二沉積腔室中,在該含矽(Si)層或該矽鍺(SiGe)層上方沉積一襯墊層;經由該機器人,將基板從該第二沉積腔室傳送至該第三沉積腔室;在該第三沉積腔室中,在該襯墊層上方形成一可 流動介電層;經由該機器人,將基板從該第三沉積腔室傳送至該退火腔室;以及藉由在該退火腔室中將該可流動介電層暴露於高壓蒸汽,而在該退火腔室中執行一退火處理,其中該第三沉積腔室設置以在攝氏0度與攝氏約100度之間的一溫度與在約1托與約10托之間的一腔室壓力下反應一含矽前驅物與一氧系自由基前驅物,其中該含矽前驅物包括三甲矽烷胺(trisilylamine)。
TW107132041A 2017-09-12 2018-09-12 使用保護阻障層製造半導體結構的設備及方法 TWI697050B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762557501P 2017-09-12 2017-09-12
US62/557,501 2017-09-12

Publications (2)

Publication Number Publication Date
TW201923901A TW201923901A (zh) 2019-06-16
TWI697050B true TWI697050B (zh) 2020-06-21

Family

ID=65724027

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107132041A TWI697050B (zh) 2017-09-12 2018-09-12 使用保護阻障層製造半導體結構的設備及方法

Country Status (7)

Country Link
US (1) US11177128B2 (zh)
JP (1) JP7274461B2 (zh)
KR (1) KR102659317B1 (zh)
CN (1) CN111095524B (zh)
SG (1) SG11202001450UA (zh)
TW (1) TWI697050B (zh)
WO (1) WO2019055415A1 (zh)

Families Citing this family (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111261634A (zh) * 2020-02-10 2020-06-09 无锡拍字节科技有限公司 一种存储器件的制造设备及其方法
US11791155B2 (en) 2020-08-27 2023-10-17 Applied Materials, Inc. Diffusion barriers for germanium
TWI749955B (zh) * 2020-09-28 2021-12-11 天虹科技股份有限公司 減少非輻射復合的微發光二極體的製作方法及製作機台
CN117546277A (zh) * 2021-08-23 2024-02-09 株式会社国际电气 半导体装置的制造方法、基板处理方法、基板处理装置以及程序

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045753A1 (en) * 2005-08-30 2007-03-01 Sangwoo Pae Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US20080121882A1 (en) * 2006-11-07 2008-05-29 Jack Hwang Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US20160336405A1 (en) * 2015-05-11 2016-11-17 Applied Materials, Inc. Horizontal gate all around and finfet device isolation

Family Cites Families (357)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
KR0155572B1 (ko) 1991-05-28 1998-12-01 이노우에 아키라 감압처리 시스템 및 감압처리 방법
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JPH11354515A (ja) 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
IL145608A0 (en) 1999-04-02 2002-06-30 Silicon Valley Group Thermal Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6906761B2 (en) 2001-09-19 2005-06-14 Keiwa Inc. Reflection sheet and backlight unit using the same
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP4006993B2 (ja) * 2001-12-17 2007-11-14 ソニー株式会社 薄膜トランジスタの製造方法,液晶表示装置の製造方法,エレクトロルミネッセンス表示装置の製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
WO2004070796A2 (en) 2003-02-04 2004-08-19 Applied Materials, Inc. Tailoring nitrogen profile in silicon oxynitride using rapid thermal annealing with ammonia under ultra-low pressure
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
CN100408902C (zh) 2003-05-13 2008-08-06 应用材料股份有限公司 密封一处理室一开口的方法与装置
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
JP2007522649A (ja) 2003-12-23 2007-08-09 ジョン シー. シューマカー、 半導体反応器用の排気調整システム
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
JP2005347636A (ja) * 2004-06-04 2005-12-15 Az Electronic Materials Kk トレンチ・アイソレーション構造の形成方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
KR100536809B1 (ko) * 2004-06-22 2005-12-14 동부아남반도체 주식회사 반도체 소자 및 그 제조 방법
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7422776B2 (en) * 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US20060156979A1 (en) 2004-11-22 2006-07-20 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
JP5117856B2 (ja) 2005-08-05 2013-01-16 株式会社日立国際電気 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN102109626A (zh) 2005-10-07 2011-06-29 株式会社尼康 微小光学元件
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
WO2008047886A1 (en) 2006-10-13 2008-04-24 Asahi Glass Co., Ltd. Method of smoothing surface of substrate for euv mask blank, and euv mask blank obtained by the method
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
WO2008131531A1 (en) 2007-04-30 2008-11-06 Ifire Ip Corporation Laminated thick film dielectric structure for thick film dielectric electroluminescent displays
KR101468606B1 (ko) 2007-05-25 2014-12-04 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
WO2008156687A1 (en) 2007-06-15 2008-12-24 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
CN101330035B (zh) * 2007-06-18 2010-05-19 中芯国际集成电路制造(上海)有限公司 浅沟槽隔离结构及其制造方法
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
KR101892467B1 (ko) 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP5883652B2 (ja) 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
JP5568913B2 (ja) 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN103151266B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
SG182336A1 (en) 2010-01-06 2012-08-30 Applied Materials Inc Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
EP2526339A4 (en) 2010-01-21 2015-03-11 Powerdyne Inc PRODUCTION OF STEAM FROM A CARBON SUBSTANCE
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
CN102844848A (zh) 2010-03-05 2012-12-26 应用材料公司 通过自由基成分化学气相沉积的共形层
CN102214609A (zh) * 2010-04-07 2011-10-12 中国科学院微电子研究所 一种半导体器件及其制造方法
KR101877377B1 (ko) 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) * 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
JP2012231007A (ja) * 2011-04-26 2012-11-22 Elpida Memory Inc 半導体装置の製造方法
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
EP2727137B1 (en) 2011-06-28 2022-04-20 Brooks Automation (Germany) GmbH Semiconductor stocker systems and methods.
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
JP5499225B1 (ja) 2012-08-24 2014-05-21 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
WO2014130304A1 (en) 2013-02-19 2014-08-28 Applied Materials, Inc. Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
KR20210014778A (ko) 2013-03-15 2021-02-09 어플라이드 머티어리얼스, 인코포레이티드 기판 증착 시스템, 로봇 이송 장치, 및 전자 디바이스 제조 방법
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9414445B2 (en) 2013-04-26 2016-08-09 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
KR101801113B1 (ko) 2013-05-31 2017-11-24 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 제조 장치의 제조 방법 및 노구 개체
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
WO2015023404A1 (en) 2013-08-16 2015-02-19 Applied Materials, Inc. Tungsten deposition with tungsten hexafluoride (wf6) etchback
CN109390214B (zh) 2013-08-21 2023-03-07 应用材料公司 半导体薄膜制造中的变频微波(vfm)工艺及应用
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
WO2015195081A1 (en) 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
CN105244269B (zh) * 2014-07-09 2018-10-23 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US20170160012A1 (en) 2014-09-08 2017-06-08 Mitsubishi Electric Corporation Semiconductor annealing apparatus
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
KR102332415B1 (ko) 2014-10-24 2021-12-01 버슘머트리얼즈 유에스, 엘엘씨 실리콘-함유 막을 증착시키기 위한 조성물 및 이를 사용하는 방법
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
WO2016126911A2 (en) 2015-02-06 2016-08-11 Air Products And Chemicals, Inc. Compositions and methods using same for carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
CN107534000B (zh) 2015-04-20 2021-12-17 应用材料公司 缓冲腔室晶片加热机构和支撑机械臂
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9401397B1 (en) 2015-05-11 2016-07-26 International Business Machines Corporation Reduction of defect induced leakage in III-V semiconductor devices
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US10597779B2 (en) 2015-06-05 2020-03-24 Applied Materials, Inc. Susceptor position and rational apparatus and methods of use
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US10170608B2 (en) * 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
KR102476764B1 (ko) * 2015-12-23 2022-12-14 에스케이하이닉스 주식회사 소자분리구조 및 그 제조 방법
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI729457B (zh) 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
CN109791913A (zh) 2016-09-30 2019-05-21 应用材料公司 形成自对准通孔的方法
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10460933B2 (en) 2017-03-31 2019-10-29 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
JP6913761B2 (ja) 2017-04-21 2021-08-04 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 改善された電極アセンブリ
CN116504679A (zh) 2017-05-01 2023-07-28 应用材料公司 具有真空隔离和预处理环境的高压退火腔室
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
KR20190137967A (ko) 2017-06-02 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 기판 상에 증착된 막들의 품질 개선
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
JP6947914B2 (ja) 2017-08-18 2021-10-13 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧高温下のアニールチャンバ
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
KR102539390B1 (ko) 2018-02-22 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 더 양호한 막 품질을 가능하게 하기 위해 마스크 기판을 처리하기 위한 방법
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
CN112640065A (zh) 2018-10-30 2021-04-09 应用材料公司 用于蚀刻用于半导体应用的结构的方法

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070045753A1 (en) * 2005-08-30 2007-03-01 Sangwoo Pae Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US20080121882A1 (en) * 2006-11-07 2008-05-29 Jack Hwang Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
US20160336405A1 (en) * 2015-05-11 2016-11-17 Applied Materials, Inc. Horizontal gate all around and finfet device isolation

Also Published As

Publication number Publication date
US20200388486A1 (en) 2020-12-10
JP2020533803A (ja) 2020-11-19
US11177128B2 (en) 2021-11-16
JP7274461B2 (ja) 2023-05-16
CN111095524B (zh) 2023-10-03
KR20200042009A (ko) 2020-04-22
SG11202001450UA (en) 2020-03-30
TW201923901A (zh) 2019-06-16
WO2019055415A1 (en) 2019-03-21
CN111095524A (zh) 2020-05-01
KR102659317B1 (ko) 2024-04-18

Similar Documents

Publication Publication Date Title
TWI697050B (zh) 使用保護阻障層製造半導體結構的設備及方法
KR102670456B1 (ko) 수평 게이트 올 어라운드 및 finfet 디바이스 격리
CN110622298B (zh) 用于高质量间隙填充方案的循环可流动沉积和高密度等离子体处理处理
US10269571B2 (en) Methods for fabricating nanowire for semiconductor applications
US9698007B2 (en) Method of manufacturing semiconductor device, substrate processing apparatus, and recording medium
US8759223B2 (en) Double patterning etching process
US20070111545A1 (en) Methods of forming silicon dioxide layers using atomic layer deposition
JP2019500756A (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
JP2008507130A5 (zh)
TW202319570A (zh) 形成氮碳氧化矽薄膜的方法
JP2005079309A (ja) 半導体装置およびその製造方法
KR20060130680A (ko) 트랜치 절연 영역 형성 방법
CN107980172A (zh) Vnand拉伸厚teos氧化物
US9117878B2 (en) Method for manufacturing shallow trench isolation
CN108807377A (zh) 半导体器件及其形成方法
TW202340512A (zh) 形成SiOC及SiOCN低K間隔物之方法
WO2010038888A1 (ja) 窒化酸化珪素膜およびその形成方法、コンピュータ読み取り可能な記憶媒体並びにプラズマcvd装置