JP2019500756A - 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 - Google Patents

半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 Download PDF

Info

Publication number
JP2019500756A
JP2019500756A JP2018534794A JP2018534794A JP2019500756A JP 2019500756 A JP2019500756 A JP 2019500756A JP 2018534794 A JP2018534794 A JP 2018534794A JP 2018534794 A JP2018534794 A JP 2018534794A JP 2019500756 A JP2019500756 A JP 2019500756A
Authority
JP
Japan
Prior art keywords
layer
silicon
substrate
recess
liner
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2018534794A
Other languages
English (en)
Other versions
JP6856651B2 (ja
Inventor
ビンシー スン ウッド,
ビンシー スン ウッド,
マイケル ジー. ウォード,
マイケル ジー. ウォード,
シーユイ スン,
シーユイ スン,
マイケル チャドジック,
マイケル チャドジック,
ナムスン キム,
ナムスン キム,
ファー チュン,
ファー チュン,
イー−チャウ フアン,
イー−チャウ フアン,
チェンツァウ イン,
チェンツァウ イン,
イン ジャン,
イン ジャン,
チー−ヌン ニー,
チー−ヌン ニー,
リン ドン,
リン ドン,
ドンチン ヤン,
ドンチン ヤン,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2019500756A publication Critical patent/JP2019500756A/ja
Application granted granted Critical
Publication of JP6856651B2 publication Critical patent/JP6856651B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/413Nanosized electrodes, e.g. nanowire electrodes comprising one or a plurality of nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Chemical & Material Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Drying Of Semiconductors (AREA)
  • Thin Film Transistor (AREA)
  • Chemical Vapour Deposition (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

本開示は、半導体チップの水平ゲートオールアラウンド(hGAA)構造に所望の材料でナノワイヤ構造のためのナノワイヤスペーサを形成するための方法を提供する。一実施例では、基板上にナノワイヤ構造のためのナノワイヤスペースを形成する方法は、処理されるマルチ材料層を上部に有する基板上で横方向エッチング処理を実施することであって、マルチ材料層は第1の層と第2の層のペアの繰り返しを含み、第1の層と第2の層はそれぞれマルチ材料層内で露出される第1の側壁と第2の側壁を有し、横方向エッチング処理は主として、第2の層を通して第2の層をエッチングして第2の層に凹部を形成する、横方向エッチング処理を実施することと、凹部を誘電体材料で充填することと、凹部の外へ延在する誘電体層を除去することとを含む。【選択図】図5F

Description

[0001] 本発明の実施形態は概して、半導体基板上に、所望の材料で垂直に積層したナノワイヤを形成する方法に関し、より具体的には、三次元半導体製造アプリケーション用に、半導体基板上に、所望の材料で垂直に積層したナノワイヤを形成するための方法に関する。
関連技術の説明
[0002] 半導体デバイスの次世代の超大規模集積(VLSI)及び極超大規模集積(ULSI)に関しては、サブハーフミクロン以下の特徴を確実に生産することが主要な技術的課題の1つになっている。しかしながら、回路技術の限界が押し上げられるにつれて、VLSI及びULSI技術の寸法は小さくなり、更なる処理能力が要求されることとなった。基板上に信頼度の高いゲート構造を形成することは、VLSI及びULSIの成功にとって、また、個々の基板やダイの回路密度や品質を高めるための継続的な取り組みにとっても重要なことである。
[0003] 次世代デバイスの回路密度が増大するにつれて、ビア、トレンチ、コンタクト、ゲート構造及びその他の特徴などのインターコネクト、並びにその間の誘電体材料の幅は25nm、20nm、更にはそれ以下に減少するが、誘電体層の厚みは実質的に一定のままであるため、結果として特徴のアスペクト比が増大する。しかも、チャネル長の短縮は、従来の平面MOSFET構造による重大な短チャネル効果をしばしば引き起こす。次世代デバイス及び構造の製造を可能にするため、トランジスタの性能向上に三次元(3D)デバイス構造がしばしば利用されている。特に、デバイス性能を高めるためには、フィン電界効果トランジスタ(FinFET)がしばしば使用される。FinFETデバイスは、トランジスタ用のチャネル及びソース/ドレイン領域が上部に形成される、高アスペクト比の半導体フィンを含む。高速で、信頼性が高く、良好に制御された半導体トランジスタデバイスを製造するため、チャネル及びソース/ドレイン領域のより大きな表面積の利点を活かすように、ゲート電極はフィンデバイスの一部の上及び側面に沿って形成される。FinFETの更なる利点には、短チャネル効果を低減すること、大電流を提供することも含まれる。hGAA構成を有するデバイス構造は多くの場合、ゲートを取り囲むことによって優れた静電制御をもたらし、短チャネル効果及び関連する漏れ電流を抑制する。
[0004] 幾つかのアプリケーションでは、水平ゲートオールアラウンド(hGAA)構造が次世代の半導体デバイスアプリケーションに利用される。hGAAデバイス構造は、積層構成で懸架され、ソース/ドレイン領域によって接続された幾つかの格子整合チャネル(例えば、ナノワイヤ)を含む。
[0005] hGAA構造では、チャネル構造(例えば、ナノワイヤ)の形成に異なる材料が利用されることが多いが、デバイス性能を損なうことなく、これらの材料をすべてナノワイヤ構造に一体化する際には、製造の難易度が上がるため、望ましくないことがある。例えば、hGAA構造に関連する課題の1つは、金属ゲートとソース/ドレインとの間に寄生キャパシタンスが存在することである。このような寄生キャパシタンスの管理が適切でないと、デバイス性能が大きく損なわれる結果となりうる。
[0006] そのため、形状と寸法の制御を良好に保ったまま、基板上のhGAAデバイス構造に適した材料でチャネル構造を形成する方法の改良が必要になっている。
[0007] 本開示は、半導体チップの水平ゲートオールアラウンド(hGAA)構造に所望の材料でナノワイヤ構造のためのナノワイヤスペーサを形成するための方法を提供する。一実施例では、基板上にナノワイヤ構造のためのナノワイヤスペースを形成する方法は、処理されるマルチ材料層を上部に有する基板上で横方向エッチング処理を実施することであって、マルチ材料層は第1の層と第2の層のペア(対)の繰り返しを含み、第1の層と第2の層はそれぞれマルチ材料層内で露出される第1の側壁と第2の側壁を有し、横方向エッチング処理は主として、第2の層を通して第2の層をエッチングして第2の層に凹部を形成する、横方向エッチング処理を実施することと、凹部を誘電体材料で充填することと、凹部の外へ延在する誘電体層を除去することとを含む。
[0008] 本発明の上述の特徴を詳細に理解しうるように、上記で簡単に要約されている本発明のより詳細な説明が、実施形態を参照することによって得られ、一部の実施形態は付随する図面に示されている。しかし、本発明は他の等しく有効な実施形態も許容しうることから、付随する図面は、この発明の典型的な実施形態のみを示しており、従って、発明の範囲を限定していると見なすべきではないことに、留意されたい。
基板上でエッチング処理を実施するために利用されうるプラズマ処理チャンバを示す。 基板上で堆積処理を実施するために利用されうるプラズマ処理チャンバを示す。 組み込まれる予定の図1及び図2のプラズマ処理チャンバを含みうる処理システムを示す。 基板上に形成されるナノワイヤ構造を製造するための方法のフロー図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図4の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 基板上に形成されるナノワイヤ構造を製造するための別の方法のフロー図を示す。 図6の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図6の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図6の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図6の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図6の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 基板上に形成されるナノワイヤ構造を製造するための更に別の方法を示す。 図8の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図8の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図8の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 基板上に形成されるナノワイヤ構造を製造するための更に別の方法のフロー図を示す。 図10の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図10の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図10の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 図10の製造プロセス中に所望の材料でナノワイヤ構造を形成するための手順の一例の断面図を示す。 水平ゲートオールアラウンド(hGAA)構造の一例の概略図を示す。
[0021] 理解しやすくするために、可能な場合には、図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、更なる記述がなくとも、他の実施形態に有益に組み込まれ得ると考えられている。
[0022] しかしながら、本発明は他の等しく有効な実施形態も許容しうることから、添付の図面はこの発明の例示的な実施形態のみを例示しており、従って本発明の範囲を限定すると見なすべきではないことに、留意されたい。
[0023] 水平ゲートオールアラウンド(hGAA)半導体デバイス構造に対して、制御された寄生キャパシタンスを有するナノワイヤ構造にナノワイヤスペースを製造する方法が提供される。一実施例では、交互積層構造で配置された異なる材料(例えば、第1の材料と第2の材料)を含む超格子構造は、あとで水平ゲートオールアラウンド(hGAA)半導体デバイス構造のためのナノワイヤ(例えば、チャネル構造)として利用されるように、基板上に形成されてもよい。堆積及びエッチング処理の手順は、低い寄生キャパシタンスを有するナノワイヤ構造にナノワイヤスペーサを形成するために実施されうる。超格子構造内の第1の材料の側壁上に形成されたナノワイヤスペーサは、低減された寄生キャパシタンスを有する材料の群から選択される。ライナ構造は必要に応じて、第1の材料とナノワイヤスペーサとの間に形成されうる。ナノワイヤスペーサに適した材料には、低誘電率材料、誘電体材料、又は空隙が含まれる。
[0024] 図1は、金属層をエッチングするための、例示的なエッチング処理チャンバ100の単純化した断面図である。例示的なエッチング処理チャンバ100は、基板502から一又は複数の膜層を除去するのに適している。本発明から恩恵を受けるように適合されうる処理チャンバの1つの例は、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能なAdvantEdge Mesa Etch処理チャンバである。他の製造業者から入手可能なものを含む他の処理チャンバが、本発明の実施形態を実施するように適合されうることに留意されたい。
[0025] エッチング処理チャンバ100は、内部に画定されるチャンバ空間101を有するチャンバ本体105を含む。チャンバ本体105は側壁112及び底部118を有し、これらは接地126に接続される。側壁112は、側壁112を保護するためのライナ115を有し、エッチング処理チャンバ100の保守サイクル間の時間を延ばす。チャンバ本体105及びエッチング処理チャンバ100の関連構成要素の寸法は限定的なものではなく、一般的に、処理される基板502のサイズに比例して大きくなる。基板サイズの例としては、限定するものではないが、直径200mm、直径250mm、直径300mm、及び直径450mmのものが含まれる。
[0026] チャンバ本体105は、チャンバ空間101を取り囲むチャンバリッドアセンブリ110を支持する。チャンバ本体105はアルミニウム又は他の適切な材料から作製されうる。チャンバ本体105の側壁112を貫通して基板アクセスポート113が形成されており、これがエッチング処理チャンバ100内外への基板502の移送を容易にする。アクセスポート113は、移送チャンバ及び/又は基板処理システムの他のチャンバに連結されていてよい(図示せず)。
[0027] チャンバ本体305の側壁112を貫通してポンピングポート145が形成され、チャンバ空間101に接続される。ポンピング装置(図示せず)は、内部を排気し圧力制御するために、ポンピングポート145を介して処理空間101に連結される。ポンピング装置は、一又は複数のポンプ及びスロットルバルブを含みうる。
[0028] ガスパネル160は、処理ガスをチャンバ空間101内に供給するためにチャンバ本体105に連結されている。ガスパネル160は一又は複数の処理ガス源161、162、163、164を含み、所望であれば不活性ガス、非反応性ガス、及び反応性ガスを追加的に含みうる。ガスパネル160によって提供されうる処理ガスの実施例には、限定するものではないが、メタン(CH)、六フッ化硫黄(SF)、四フッ化炭素(CF)、臭化水素(HBr)、炭化水素含有ガス、アルゴンガス(Ar)、塩素(Cl)、窒素(N)、及び酸素ガス(O)を含む、炭化水素ガスが含まれる。加えて、処理ガスには、塩素、フッ素、酸素及び水素含有ガス、例えば、BCl、C、C、CHF、CH、CHF、NF、CO、SO、CO及びHなどが含まれうる。
[0029] バルブ166は、ガスパネル160のソース161、162、163、164からの処理ガスの流れを制御し、コントローラ165によって管理される。ガスパネル160からチャンバ本体105に供給されるガスの流れは、ガスの組み合わせを含みうる。
[0030] リッドアセンブリ110はノズル114を含みうる。ノズル114は、ガスパネル160のソース161、162、164、163からの処理ガスをチャンバ空間101に導入するための一又は複数のポートを有する。処理ガスがエッチング処理チャンバ100に導入された後、プラズマを形成するためガスに通電される。一又は複数のインダクタコイルなどのアンテナ148は、エッチング処理チャンバ100に隣接して提供されうる。アンテナ電源142は、処理ガスにエネルギー(RFエネルギーなど)を誘導結合する整合回路141を介してアンテナ148に給電し、処理ガスから形成されるプラズマをエッチング処理チャンバ100のチャンバ空間101内に維持しうる。アンテナ電源142に代えて、又は追加して、基板502の下方及び/又は基板502の上方の処理電極は、チャンバ空間101内にプラズマを維持するため、処理ガスにRF電力を容量結合するために使用されうる。アンテナ電源142の動作は、エッチング処理チャンバ100内の他の構成要素の動作も制御するコントローラ(例えば、コントローラ165)によって制御されうる。
[0031] 基板支持ペデスタル135はチャンバ空間101内に配設され、処理中に基板502を支持する。基板支持ペデスタル135は、処理中に基板502を保持するための静電チャック122を含みうる。静電チャック(ESC)122は、基板502を基板支持アセンブリ135に保持するため、静電引力を利用する。ESC122は、整合回路124と一体化したRF電源125から給電される。ESC122は、誘電体内に埋め込まれた電極121を備える。RF電源125は、約200ボルト〜約2000ボルトのRFチャッキング電圧を電極121に供給しうる。RF電源125はまた、基板502をチャック及びデチャックするためのDC電流を電極へ案内することによって電極121の動作を制御するためのシステムコントローラを含みうる。
[0032] ESC122はまた、内部に配設された電極151を含みうる。電極151は電源150に連結され、チャンバ空間101内の処理ガスによって形成されるプラズマイオンを、ESC122とその上に配置される基板502に引き付けるバイアスを提供する。電源150は、基板502の処理中にオンとオフを繰り返し、パルスを発しうる。ESC122は、ESC122の保守寿命を延ばすため、ESC122の側壁がプラズマに引き寄せられにくくするための絶縁部128を有する。加えて、基板支持体ペデスタル135は、プラズマガスから基板支持体ペデスタル135の側壁を保護し、プラズマエッチング処理チャンバ100の保守間隔を延ばすカソードライナ136を有してもよい。
[0033] ESC122は、内部に配設され、基板加熱用の電源(図示せず)に接続されたヒータを含んでもよく、一方、ESC122を支持する冷却ベース129は、ESC122とその上に配設される基板502の温度を維持する熱伝導流体を循環するための導管を含んでもよい。ESC122は、基板502上に製造されるデバイスの熱収支で要求される温度範囲内で動作するように構成されている。例えば、ある実施形態では、ESC122は、約−25°Cから約500°Cの温度で基板502を維持するように構成されうる。
[0034] 冷却ベース129は、基板502の温度制御を支援するように提供される。処理のドリフトと時間を緩和するため、基板502の温度は、基板502がエッチングチャンバ内にある間中、冷却ベース129によってほぼ一定に維持されうる。一実施形態では、基板502の温度は、その後のエッチング処理の間中、約70°Cから90°Cに維持される。
[0035] カバーリング130はESC122上に、また、基板支持ペデスタル135の周縁に沿って、配設される。カバーリング130は、基板502の露出した表面の所望の部分にエッチングガスを封じ込めるように構成されている一方で、基板支持ペデスタル135の上面をエッチング処理チャンバ100内部のプラズマ環境から遮蔽している。基板502を基板支持ペデスタル135の上方に持ち上げて、移送ロボット(図示せず)又はその他の適切な移送機構による基板502へのアクセスを容易にするために、リフトピン(図示せず)は、基板支持ペデスタル135を通して選択的に移動される。
[0036] コントローラ165は、処理シーケンスを制御し、ガスパネル160からエッチング処理チャンバ100へのガス流及び他の処理パラメータを調整するように利用可能である。ソフトウェアルーチンは、CPUによって実行されると、エッチング処理チャンバ100を制御する特定目的のコンピュータ(コントローラ)148にCPUを変換し、その結果、本発明に従って処理が実行される。ソフトウェアルーチンはまた、エッチング処理チャンバ100と一緒に配置される第2のコントローラ(図示せず)によって格納及び/又は実行されてもよい。
[0037] 基板502は、少なくとも1つの金属層を含みうる様々な膜層を基板上に有する。様々な膜層は、基板502内の他の膜層の異なる組成物に対して固有のエッチングレシピを必要としうる。VLSI及びULSI技術の中心を占めるマルチレベルインターコネクトは、ビアや他のインターコネクトなどの高アスペクト比の特徴の製造を必要としうる。マルチレベルインターコネクトの構築は、様々な膜層にパターンを形成する一又は複数のエッチングレシピを必要としうる。これらのレシピは、1つのエッチング処理チャンバ内で、或いは幾つかのエッチング処理チャンバにわたって実施されうる。各エッチング処理チャンバは、一又は複数のエッチングレシピでエッチングするように構成されうる。一実施形態では、エッチング処理チャンバ100は、インターコネクション構造を形成するため、少なくとも1つの金属層をエッチングするように構成されている。本書で提供される処理パラメータに関しては、エッチング処理チャンバ100は、直径300mmの基板、すなわち、約0.0707mの平面領域を有する基板を処理するように構成されている。フロー及び電力などの処理パラメータは、一般的にチャンバ空間又は基板平面領域の変化に比例して拡大縮小されうる。
[0038] 図2は、プラズマ発生領域が分割された流動性化学気相堆積チャンバ200の一実施形態の断面図である。流動性化学気相堆積チャンバ200は、基板上にSiOC含有層などのライナ層を堆積するために利用されうる。膜の堆積(酸化ケイ素、窒化ケイ素、酸窒化ケイ素、又は酸炭化ケイ素の堆積)中に、処理ガスは、ガス注入口アセンブリ205を通って第1のプラズマ領域215の中へ流れることができる。遠隔プラズマシステム(RPS)201内部の第1のプラズマ領域215に入る前に、処理ガスを励起させることができる。堆積チャンバ200は、リッド212とシャワーヘッド225を含む。リッド212は印加されるAC電圧源と共に描かれ、シャワーヘッド225は接地され、第1のプラズマ領域215のプラズマ発生と、矛盾しない。絶縁リング220はリッド212とシャワーヘッド225との間に位置決めされ、これにより、第1のプラズマ領域215に容量結合されたプラズマ(CCP)を形成することが可能になる。リッド212とシャワーヘッド225は間にある絶縁リング220と共に示されており、これにより、シャワーヘッド225に対してリッド212にAC電位を印加することができる。
[0039] リッド212は、処理チャンバと共に使用されるデュアルソースリッドであってもよい。ガス注入口アセンブリ205内部に、2つの個別のガス供給チャネルを見ることができる。第1のチャネル202が、遠隔プラズマシステム(RPS)201を通過するガスを運び、一方、第2のチャネル204は、RPS201を迂回する。第1のチャネル202は処理ガス用に使用され、第2のチャネル204はトリートメントガス(treatment gas)用に使用されうる。第1のプラズマ領域215に流れ込むガスは、バッフル206によって分散されうる。
[0040] 前駆体などの流体は、シャワーヘッド225を通して、堆積チャンバ200の第2のプラズマ領域233に流されてもよい。第1のプラズマ領域215の前駆体に由来する励起種は、シャワーヘッド225の開孔214を通って移動し、シャワーヘッド225から第2のプラズマ領域233の中へ流れる前駆体と反応する。第2のプラズマ領域233には、プラズマはほとんど、或いは全く存在しない。励起された前駆体の誘導体は、第2のプラズマ領域233と結合し、基板上に流動性誘電体材料を形成する。誘電体材料が成長するにつれ、更に後から追加された材料は下位層よりも高い移動度を有する。移動度は、蒸発によって有機含有量が減るにつれて低下する。この手法を利用すると、堆積が完了した後に誘電体材料内部の有機含有量を従来の密度で残すことなく、流動性誘電体材料によって隙間を充填することができる。硬化ステップを更に使用して、堆積膜から有機含有量を更に低減する、又は除去することができる。
[0041] 第1のプラズマ領域215内だけで、或いは遠隔プラズマシステム(RPS)201と組み合わせて、前駆体を励起することは、幾つかの利点をもたらす。前駆体に由来する励起種の濃度は、第1のプラズマ領域215内のプラズマにより、第2のプラズマ領域233内部で上昇しうる。この上昇は、第1のプラズマ領域215内のプラズマの位置による結果でありうる。第2のプラズマ領域233は、遠隔プラズマシステム(RPS)201よりも第1のプラズマ領域215により近く配置され、これにより励起種が他のガス分子、チャンバの壁、及びシャワーヘッド表面と衝突することによって励起状態を離脱する時間が短縮される。
[0042] 前駆体に由来する励起種の濃度の均一性はまた、第2のプラズマ領域233内部で上昇しうる。これは、第2のプラズマ領域233の形状により類似した第1のプラズマ領域215の形状に起因しうる。遠隔プラズマシステム(RPS)201に発生した励起種は、シャワーヘッド225のエッジ近辺の開孔214を通過するために、シャワーヘッド225の中央近辺の開孔214を通過する種よりも長い距離を移動する。距離がより長いために励起種の励起状態が低下する場合があり、例えば基板のエッジ近辺の成長速度は遅くなりうる。第1のプラズマ領域215の前駆体を励起することで、この変化を軽減することができる。
[0043] 前駆体に加え、様々な目的で様々な時点に導入されるその他のガスもありうる。チャンバの壁、基板、堆積膜、及び/又は堆積中の膜から不必要な種を除去するために、トリートメントガスを導入することができる。トリートメントガスは、H、H/N混合物、NH、NHOH、O、O、H及び水蒸気からなる群から、少なくとも1つの気体を含みうる。トリートメントガスは、プラズマ内で励起され、その後に堆積した膜から残留有機物含有量を低減又は除去するために使用されることがある。その他の実施形態では、トリートメントガスをプラズマなしで使用することができる。トリートメントガスが水蒸気を含む時は、質量流量計(MFM)、注入バルブ、又は他の適切な水蒸気発生器を使用して送ることができる。
[0044] 実施形態では、シリコン含有前駆体などの誘電体材料前駆体を導入し、第2のプラズマ領域233内で処理前駆体と反応させることで、誘電体層を堆積させることができる。誘電体材料前駆体の例は、シラン、ジシラン、メチルシラン、ジメチルシラン、トリメチルシラン、テトラメチルシラン、テトラエトキシシラン(TEOS)、トリエトキシシラン(TES)、オクタメチルシクロテトラシロキサン(OMCTS)、テトラメチル−ジシロキサン(TMDSO)、テトラメチルシクロテトラシロキサン(TMCTS)、テトラメチル−ジエトキシ−ジシロキサン(TMDDSO)、ジメチル−ジメトキシ−シラン(DMDMS)、又はこれらの組み合わせを含むケイ素含有前駆体である。窒化ケイ素堆積用の追加の前駆体は、トリシリルアミン(TSA)及びジシリルアミン(DSA)を含むシリル−アミン及びその誘導体などのSixNyHz−含有前駆体、SixNyHzOzz−含有前駆体、SixNyHzClzz−含有前駆体、又はこれらの組み合わせを含む。
[0045] 処理前駆体は、水素含有化合物、酸素含有化合物、窒素含有化合物、或いはこれらの組み合わせを含む。適切な処理前駆体の例には、H、H/N混合物、NH、NHOH、O、O、H、N、N蒸気を含むNxHy化合物、NO、NO、NO、水蒸気、又はこれらの組み合わせからなる群から選択される一又は複数の化合物が含まれる。処理前駆体は、N*及び/又はH*及び/又はO*含有ラジカル又はプラズマ、例えば、NH、NH*、NH*、N*、H*、O*、N*O*など、又はこれらの組み合わせを含むように、RPSユニットの中などで、プラズマ励起されてもよい。処理前駆体は、代替的には、本書に記載の前駆体のうちの一又は複数を含みうる。
[0046] 処理前駆体は、N*及び/又はH*及び/又はO*含有ラジカル又はプラズマ、例えば、NH、NH*、NH*、N*、H*、O*、N*O*など、又はこれらの組み合わせを含む処理ガスプラズマ及びラジカルを生成するため、第1のプラズマ領域215内で、プラズマ励起されてもよい。代替的に、処理前駆体は、第1のプラズマ処理領域215に導入される前に遠隔プラズマシステムを通過した後にすでにプラズマ状態であってよい。
[0047] 励起された処理前駆体は次いで、前駆体との反応のため、開孔214を経由して第2のプラズマ領域233に送られる。処理空間内に入ると、処理前駆体は混合して反応し、誘電体材料を堆積させる。
[0048] 一実施形態では、堆積チャンバ200内で実施される流動性CVD処理は、誘電体材料をポリシラザンベースのシリコン含有膜(PSZ膜)として堆積させうる。この膜は、再流動可能で、ポリシラザンベースのシリコン含有膜が堆積する基板内に画定されるトレンチ、特徴、ビア、又は他の開口を充填することができる。
[0049] 誘電材料前駆体及び処理前駆体に加えて、様々な目的で様々な時点で導入されるその他のガスもありうる。チャンバ壁、基板、堆積膜、及び/又は堆積中の膜から、水素、炭素、フッ素などの不必要な種を除去するために、トリートメントガスを導入することができる。処理前駆体及び/又はトリートメントガスは、H、H/N混合物、NH、NHOH、O、O、H、N、N蒸気、NO、NO、NO、水蒸気、又はこれらの組み合わせからなる群から、少なくとも1つのガスを含みうる。トリートメントガスは、プラズマ内で励起され、その後に堆積した膜から残留有機物含有量を低減又は除去するために使用されることがある。その他の本本開示の実施形態では、トリートメントガスをプラズマなしで使用することができる。トリートメントガスが水蒸気を含む時は、質量流量計(MFM)、注入バルブ、又は市販の水蒸気発生器を使用して送ることができる。トリートメントガスは、RPSユニットを通してか、RPSユニットを迂回してかのいずれかで、第1の処理領域から導入することができ、第1のプラズマ領域で更に励起させることができる。
[0050] 窒化ケイ素材料には、窒化ケイ素(SixNy)、水素含有窒化ケイ素(SixNyHz)、水素含有酸窒化ケイ素(SixNyHzOzz)を含む酸窒化ケイ素、及び、塩素化窒化ケイ素(SixNyHzClzz)を含むハロゲン含有窒化ケイ素が含まれる。堆積した誘電体材料は次いで、酸化ケイ素様の材料に変換されうる。
[0051] 図3は、本書に記載の方法が実行されうる半導体処理システム300の平面図を示している。本発明から恩恵を受けるように適合されうる1つの処理システムは、カリフォルニア州サンタクララのApplied Materials, Inc.から入手可能な300mm又は450mmのProducer(商標)処理システムである。処理システム300は一般的に、FOUP314に含まれる基板カセット318が支持され、ロードロックチャンバ309との間で基板が出し入れされるプラットフォーム302と、基板ハンドラ313を格納する移送チャンバ311と、移送チャンバ311上に装着された一連のタンデム処理チャンバ306とを含む。
[0052] タンデム処理チャンバ306の各々は、基板を処理するための2つの処理領域を含む。2つの処理領域は、共通のガス供給、共通の圧力制御、及び共通の処理ガス排気/ポンピングシステムを共有する。システムのモジュラー設計により、1つの構成から別の構成への迅速な変換が可能になる。チャンバの配置及び組合せは、具体的な処理ステップを実施するという目的のために、変更されうる。タンデム処理チャンバ306のいずれかは、図1及び/又は図2に描かれた処理チャンバ100、200を参照して上述された一又は複数のチャンバ構成を含む、以下で説明される発明の態様によるリッドを含みうる。処理システム300は、必要に応じて、堆積処理、エッチング処理、硬化処理、又は加熱/アニール処理を実行するように構成されうることに留意されたい。一実施形態では、処理チャンバ100、200は、図1及び図2で設計されたシングルチャンバとして示されているが、半導体処理システム300に組み込まれうる。
[0053] 一実施形態では、処理システム300は、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、硬化、又は加熱/アニールなどの他の様々な既知の処理に対応することが知られている支持チャンバハードウェアを有するタンデム処理チャンバの一又は複数に適合されうる。例えば、処理システム300は、誘電体膜などの堆積用のプラズマ堆積チャンバとして、図1の処理チャンバ100の1つと共に、或いは、基板上に形成された材料層をエッチングするためのプラズマエッチングチャンバとして、図2に描かれている処理チャンバ200の1つと共に構成可能である。このような構成は、製造利用の研究と開発を最大限に高め、所望であれば、エッチングされる膜の外気への露出をなくすことができる。
[0054] 中央処理装置(CPU)344、メモリ342、及びサポート回路346を含むコントローラ340は、本発明の処理の制御を効率化するため、半導体処理システム300の様々な構成要素に連結される。メモリ342は、ランダムアクセスメモリ(RAM)、読取り専用メモリ(ROM)、フロッピーディスク、ハードディスクなどの任意のコンピュータ可読媒体、或いは、半導体処理システム300又はCPU344に対してローカルの又は遠隔の、他の任意の形態のデジタルストレージであってよい。サポート回路346は、従来の方法でCPUをサポートするためにCPU344に連結される。これらの回路は、キャッシュ、電源、クロック回路、入出力回路、及びサブシステムなどを含む。メモリ342に記憶されるソフトウェアルーチン、すなわち一連のプログラム命令は、CPU344で実行されると、タンデム処理チャンバ306を実行する。
[0055] 図4は、水平ゲートオールアラウンド(hGAA)半導体デバイス構造のための複合材料によって、ナノワイヤ構造(例えば、チャネル構造)にナノワイヤスペーサを製造する方法400の一実施例のフロー図である。図5A〜図5Fは、方法400の様々な段階に対応する複合基板の一部の断面図である。方法400は、基板上の水平ゲートオールアラウンド(hGAA)デバイスのためのナノワイヤ構造に、ナノワイヤスペースを形成するために利用されうる。代替的に、方法400は、他の種類の構造の製造にも有効に利用されうる。
[0056] 方法400は、図5Aに示したように、上部に形成された膜スタック501を有する基板(例えば、図1に示した基板502)を準備する操作402から開始される。基板502は、結晶シリコン(例えばSi<100>又はSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ゲルマニウム、ドープされた又はドープされていないポリシリコン、ドープされた又はドープされていないシリコンウエハ、パターン形成された又はパターン形成されていないウエハシリコンオンインシュレータ(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、ヒ化ガリウム、ガラス、サファイアなどの材料であってもよい。基板502は、200mm、300mm、450mm又はその他の直径、並びに長方形又は正方形のパネルなど、様々な寸法を有しうる。別途明記されない限り、本書に記載の実施例は、直径200mm、直径300mm、直径450mmの基板上で実行される。
[0057] 膜スタック501は、オプションの材料層504の上に堆積したマルチ材料層512を含む。オプションの材料層504が存在しない実施形態では、膜スタック501は必要に応じて基板502上に直接形成されうる。一実施例では、オプションの材料層504は絶縁材料である。絶縁材料の適切な実施例には、酸化ケイ素材料、窒化ケイ素材料、酸窒化ケイ素材料、又は任意の適切な絶縁材料が含まれうる。代替的に、オプションの材料層504は、必要に応じて、導電性材料又は非導電性材料を含む任意の適切な材料であってもよい。マルチ材料層512は、少なくとも1つのペア層を含み、各ペアは、第1の層512a及び第2の層512bを含む。図5Aに描かれた実施例は4つのペアを示し、各ペアは第1の層512aと第2の層512bを含み(各ペアが第1の層512aと第2の層512bからなる交互ペア)、追加の第1の層512aを最上部に有する。ペアの数は、追加の第1の層512a又は第2の層512bが必要とされたり必要とされなかったりする処理のニーズに応じて、変化しうることに留意されたい。一実装では、第1の層512aの1つ1つの厚みは約20Aから約200Aの間で、例えば、約50Aになり、また、第2の層512bの1つ1つの厚みは約20Aから約200Aの間で、例えば、約50Aになりうる。マルチ材料層512は全体で、約10Aから約5000Aの間で、例えば、約40Aから約4000Aの間の厚さを有しうる。
[0058] 第1の層512aは、エピタキシャル堆積処理によって形成される、単一の結晶、多結晶又は単結晶シリコン層などの結晶シリコン層であってよい。代替的に、第1の層512aは、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層であってもよい。適切なp型ドーパントは、Bドーパント、Alドーパント、Gaドーパント、Inドーパントなどを含む。適切なn型ドーパントは、Nドーパント、Pドーパント、Asドーパント、Sbドーパントなどを含む。更に別の実施例では、第1の層512aは、GaAs層などの第III−V族材料であってもよい。
[0059] 第2の層512bは、SiGe層、Ge層などのGe含有層、又は他の適切な層であってもよい。代替的に、第2の層512bは、p型のドープされたシリコン層又はn型のドープされた層を含む、ドープされたシリコン層であってもよい。更に別の実施例では、第2の層512aは、GaAs層などの第III−V族材料であってもよい。なお別の実施例では、第1の層512aはシリコン層であってよく、また、第2の層512bは、金属材料の外表面上に被覆された高誘電率材料を有する金属材料である。高誘電率材料の適切な例には、二酸化ハフニウム(HfO)、二酸化ジルコニウム(ZrO)、ケイ酸ハフニウム(HfSiO)、ハフニウム・アルミネート(HfAlO)、ケイ酸ジルコニウム(ZrSiO)、二酸化タンタル(TaO)、酸化アルミニウム、アルミニウムがドープされた二酸化ハフニウム、ビスマス・ストロンチウム・チタン(BST)、又はプラチナ・ジルコニウム・チタン(PZT)などが含まれる。1つの具体的な実装では、被覆層は二酸化ハフニウム(HfO)層である。
[0060] 図5Aに示した具体的な実施例では、第1の層512aは、単一の結晶、多結晶又は単結晶シリコン層などの結晶シリコン層である。第2の層512bはSiGe層である。
[0061] 幾つかの実施例では、ハードマスク層(図5Aには図示せず)及び/又はパターン形成されたフォトレジスト層は、マルチ材料層512をパターン形成するため、マルチ材料層512上に堆積されうる。図5Aに示した実施例では、マルチ材料層512は、事前のパターン形成処理でパターン形成されており、その後、マルチ材料層512にソース/ドレインアンカーが形成されてもよい。
[0062] 基板502が結晶シリコン層で、オプションの材料層504が酸化ケイ素層である実装では、第1の層512aは真性エピシリコン層で、第2の層512bはSiGe層であってよい。別の実装では、第1の層512aはドープされたシリコン含有層で、また、第2の層512bは真性エピシリコン層であってよい。ドープされたシリコン含有層は、必要に応じて、p型のドーパント又はn型のドーパント、或いはSiGe層であってよい。基板502がGe又はGaAs基板である更に別の実装では、第1の層512aはGeSi層であってよく、また、第2の層512bは真性エピGe層であってよく、或いはその逆であってもよい。基板502が主として<100>の結晶平面を有するGaAs層であるなお別の実装では、第1の層512aは真性Ge層であってよく、また、第2の層512bGaAs層であってよく、或いはその逆であってもよい。マルチ材料層512内の第1の層512a及び第2の層512bに沿った基板材料の選択は、上述の材料を用いた異なる組み合わせであってもよいことに留意されたい。
[0063] 操作404では、図5Bに示したように、膜スタック501の側壁520から第2の層512bの一部を横方向に除去するため、横方向エッチング処理が実施される。横方向エッチング処理は、基板502から1つのタイプの材料を選択的に(部分的に又は全体的に)除去するために実施される。例えば、第2の層512bは、図5Bに示されたように部分的に取り除かれて、第2の層512bの各側壁520に凹部516を形成し、第2の層512bの露出した側壁522を形成してもよい。代替的に、選択的エッチング処理中に、第1の層512aは、図5Bに示した第2の層512bではなく、その側壁518から必要に応じて(図示せず)部分的に取り除かれうる。
[0064] 異なる処理要件に基づいて、凹部516を形成するため、基板502から第1の層512a又は第2の層512bを選択的かつ特異的にエッチングするため、異なるエッチング前駆体が選択される。基板502上の第1の層512aと第2の層512bは、実質的に同一の寸法を有し、エッチング用の露出された側壁518、520(図5Aに示す)を有するため、エッチング前駆体は、第1の層512aと第2の層512bとの間に高い選択性を有するように選択され、したがって、他の(すなわち、非ターゲット)層を浸食又は損傷することなく、第1の層512a又は第2の層512b(図5Bに例を示す)のいずれかのみをターゲットとして、横方向にエッチングすることができる。以下で詳細に説明されるように、基板502からターゲット材料を所望の幅だけ除去し、ナノワイヤスペーサを製造するための凹部を形成した後、操作404の横方向エッチング処理は停止されうる。
[0065] 図5Bに示した実施例では、エッチング前駆体は、第1の層512aを浸食又は損傷することなく、第2の層512bを特異的にエッチングするように選択される。図5Bに示した実施例では、エッチング前駆体は、第1の層512aを浸食又は損傷することなく、第2の層512bを特異的にエッチングするように選択される。第1の層512aが真性エピSi層で、第2の層512bが基板502上に形成されたSiGe層である一実施例では、第2の層512bをエッチングするように選択されたエッチング前駆体は少なくとも、図1に示された処理チャンバ100などのプラズマ処理チャンバに供給されるフッ化炭素含有ガスを含む。フッ化炭素含有ガスの適切な例には、CF、C、C、C、CF、C、Cなどが含まれうる。O又はNなどの反応ガスにはまた、エッチング処理を促進するため、遠隔プラズマ源からのフッ化炭素含有ガスが供給されうる。更に、ハロゲンガス含有ガスは、エッチング処理を更に支援するため、RFソース電力又はバイアスRF電力、或いはその両方によって、プラズマを生成するため、処理チャンバ100に供給されうる。適切なハロゲン含有ガスが、HCl、Cl、CCl、CHCl、CHCl、CHClなどを含む処理チャンバに供給されうる。一実施形態では、CFとOの混合ガスは遠隔プラズマ源から供給されてよく、一方、Clガスは、処理チャンバ100内に確定されたチャンバ空間101内で、RFソース電力又はバイアスRF電力、或いはその両方によって解離される処理チャンバに供給されてよい。CFとOは、約100:1から約1:100の間の流量比率を有しうる。
[0066] 横方向エッチング処理中、幾つかの処理パラメータは、エッチング処理を実施するエッチング混合ガスを供給しながら制御されてもよい。処理チャンバの圧力は、約0.5mTorrから約3000mTorrの間、例えば、約2mTorrから約500mTorrの間などの範囲で制御されうる。基板温度は、約15°Cから約300°Cの間で、50°Cを超えるように、例えば、約60°Cから約90°Cの間に維持される。RFソース電力は、約50Wから約3000Wまでの間で、また、約400kHzから約13.56MHzまでの間の周波数で、横方向エッチング混合ガスに供給されうる。RFバイアス電力はまた、必要に応じて供給されうる。RFバイアス電力は、約0Wから約1500Wの間で供給されうる。
[0067] 処理パラメータが同様の範囲内で制御されうる間に、横方向エッチング混合物に供給されるように選択された化学前駆体は、種々の膜層エッチング要求に対して変化しうる。例えば、第1の層512aが真性エピSi層で、また、エッチングされる第2の層512bがドープされたシリコン材料などのSiGe以外の材料であるときには、第2の層512b(例えば、ドープされたシリコン層)をエッチングするために選択されたエッチング前駆体は、Cl、HClなどを含む、処理チャンバに供給されるハロゲン含有ガスであってよい。Clガスなどのハロゲン含有ガスは、処理チャンバ100内で、RFソース電力又はバイアスRF電力、或いはその両方によって解離される処理チャンバに供給されてよい。
[0068] オプションの操作405で、ライナ層523は、マルチ材料層512の側壁518、522上に、並びに、図5Cに示したように、基板502及びオプションの材料層504の外表面上に形成されうる。ライナ層523は、良好な界面接着を有する界面保護、並びに、良好な一様性、共形性、接着及び平面性を有して形成される材料に対して平面性を提供しうる。したがって、マルチ材料層512の側壁518、522が所望の真直度を有して実質的に平坦である実施形態では、操作405でのライナ層523は除去され、図5D1及び図5D2にあとで示すように、その後の操作はマルチ材料層512の側壁518、522上で直接実施されうる。
[0069] 図5Cに示した構造はライナ層523の1つの層のみを含むが、ライナ層523は、複合層、二重層、三重層、又は任意の適切な数の層を有する適切な構造など、2つ以上の層を含むように形成されうることに留意されたい。
[0070] 一実施例では、ライナ層523は、マルチ材料層512の側壁518、522間の接着促進に役立ちうる材料、及び、界面で良好な接着を有してその後形成される材料から選択されうる。更には、ライナ層523は、所望のレベルの平面性、平坦性を有し、また、その後のエッチング/パターン形成処理中の浸食からマルチ材料層512を保護する障壁機能を有した状態で、その上に材料を形成するのを可能にする、実質的に平坦な面を提供するように、マルチ材料層512の側壁518、522の粗面をナノスケールで充填する十分な厚みを有しうる。一実施例では、ライナ層523は、約0.5nmから約5nmの厚みを有しうる。
[0071] 一実施形態では、ライナ層523は、低誘電率材料などのシリコン含有誘電体層、窒化ケイ素含有層、炭化ケイ素含有層、酸化シリコン含有層、例えば、SiN、SiON、SiC、SiCN、SiOC又はドーパントを有する酸炭窒化ケイ素材料などである。一実施例では、ライナ層523は、厚みが約5Aから約50A、例えば、約10Aの窒化ケイ素層、炭化ケイ素又は酸窒化ケイ素(SiON)である。ライナ層523は、CVDプロセス、ALDプロセス、或いはPVD、CVD、ALD、又は他の適切なプラズマ処理チャンバ内での適切な堆積技術によって形成されうる。
[0072] 操作406では、オプションのライナ層523がマルチ材料層512の側壁518、522上に形成された後、図5D1及び図5D2に示したように、マルチ材料層512の基板502上に誘電体層524充填を形成するため、誘電体充填堆積処理が実施されうる。オプションの操作405が実施されず、ライナ層523が基板502上に存在しない実施形態では、図5D1に示したように、誘電体層524は、マルチ材料層512に直接接した基板502上に形成されうる。
[0073] 基板502上に形成された誘電体層524は、操作404で実施された横方向エッチング処理中に画定された凹部516を含む、マルチ材料層512の任意の開放領域内に充填されうる。マルチ材料層512は、マルチ材料層512(図5A〜図5Fに描かれた実施形態では示されていない)に開口部を形成するため、あらかじめパターン形成されうるので、実施された誘電体充填堆積処理は、マルチ材料層512の開放領域を充填する誘電体層524を提供してもよく、これはその後、ナノワイヤスペーサ構造を形成するために利用されうる。
[0074] 一実施例では、誘電体充填堆積処理は、流動性CVD処理、周期的層堆積(CLD)、原子層堆積(ALD)、プラズマ化学気相堆積(PE CVD)、物理的気相堆積(PVD)、スピンオン被覆処理、或いは、画定された凹部516を含むマルチ材料層512の構造に誘電体層524を充填する任意の適切な堆積処理であってもよい。誘電体層524は、凹部516を充填するため、十分な厚みを有する基板502上のマルチ材料層512に、並びに、マルチ材料層512の深さ525(例えば、全体の厚み)を含むマルチ材料層512の開放領域に充填されてもよい。
[0075] 一実施例では、流動性CVD処理は、図2に描かれた処理チャンバなどの流動性CVD処理チャンバ内で誘電体充填堆積処理を実施するために利用される。堆積チャンバ200内で実施される誘電体充填堆積処理は、ポリシラザンベースのシリコン含有膜(PSZ膜)として誘電体層524を形成する流動性CVD処理で、この膜は、トレンチ、特徴、ビア、凹部、又はポリシラザンベースのシリコン含有膜が堆積される基板に画定される他の開孔内で再流動可能かつ充填可能になりうる。
[0076] 誘電体層524はその後ナノワイヤスペーサ構造の形成に使用されるため、形成される誘電体層524の材料は、低誘電率材料などのhGAAナノワイヤ構造のゲートとソース/ドレイン構造との間の寄生キャパシタンスを低減しうるシリコン含有材料、すなわち、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、炭窒化ケイ素、ドープされたシリコン層などのシリコン含有材料、又は、Applied Materialsから入手可能なBlack Diamond(登録商標)などの他の適切な材料、となるように選択される。
[0077] 一実施形態では、誘電体層524は、低誘電率材料(例えば、4未満の誘電率)、又は酸化ケイ素/窒化ケイ素/炭化ケイ素含有材料で、十分な幅526を有して凹部516に形成される。
[0078] 操作408では、主要なエッチング処理は、図5E1及び図5E2に示したように、基板502に形成された余剰の誘電体層254をエッチングし、主として、マルチ材料層512に画定された凹部516に誘電体層524を残すように実施され、これは、デバイス構造が完成した後に、特にhGAAデバイス構造に対してナノワイヤスペーサを形成するために利用されうる。主要なエッチング処理は、主に凹部516を充填する誘電体層524を残し、マルチ材料層512の第1の層512aから、側壁518に揃えられた凹部の外側壁530を形成するように、マルチ材料層512から(例えば、マルチ材料層512の第1の層512aの側壁518から)過充填された誘電体層524をエッチングするため、連続的に実施されうる。したがって、図5E1に示したように、凹部516に形成された誘電体層524は、マルチ材料層512の第2の層512bの側壁522に接する凹部の内側壁532を有し、一方、マルチ材料層512の第1の層512aから側壁518によって画定された平面に揃えられた垂直平面を画定する凹部の外側壁530を有する。図5E2に示すように、ライナ層523が、マルチ材料層512の第1の層512aの側壁518及び第2の層512bの側壁522にライナ加工されて、基板502上に存在する(オプションの操作405から形成される)実施例では、主要なエッチング処理は、ライナ層523が露出され、誘電体層524が主にマルチ材料層512に画定された凹部516に形成されるまで、連続的に実施されうる。この実施例では、更に図5Fに示したように、基板502から(例えば、主としてマルチ材料層の第1の層512aの側壁518上に残っている)をライナ層523を選択的に除去するため、操作412でライナ残留物を除去する追加の処理が実施されることがある。これとは対照的に、ナノワイヤスペーサ構造(例えば、誘電体層524)が凹部516に形成された後、ライナ層523が基板502上に存在しないときには、処理は操作410で完了したとみなされる。
[0079] 操作408での主要なエッチング処理中には、少なくともハロゲン含有ガスを含む主たるエッチング混合ガスが、図1のプラズマ処理チャンバ100などのエッチング処理チャンバに供給されうる。ハロゲン含有ガスの適切な例には、CHF、CH、CF、CF、C、C、HCl、C、Cl、CCl、CHCl、CHF、C、CHCl、CHCl、SF、NF、HBr、Brなどが含まれる。主要なエッチング混合ガスの供給中には、必要に応じて形状制御を支援するため、エッチング混合ガスに不活性ガスも供給されうる。混合ガスに供給される不活性ガスの例には、Ar、He、Ne、Kr、Xeなどが含まれる。
[0080] 処理チャンバ混合物に主要なエッチング混合ガスが供給されると、内部のエッチング混合ガスからプラズマを形成するため、RFソース電力が供給される。RFソース電力は、約100W〜約3000Wの間で、約400kHz〜約13.56MHzの間の周波数で、供給されうる。RFバイアス電力はまた、必要に応じて供給されうる。RFバイアス電力は、約0Wから約1500Wの間で供給されうる。一実装では、RFソース電力は、約10%から約95%までのデューティサイクルで約」500Hzから約10MHzの間の周波数でパルス化されうる。
[0081] エッチング処理を実施するため、エッチング混合ガスを供給中に、幾つかの処理パラメータはまた制御されうる。処理チャンバの圧力は、約0.5mTorrから約500mTorrの間、例えば、約2mTorrから約100mTorrの間などの範囲で制御されうる。基板温度は、約15°Cから約300°Cの間で、50°Cを超えて、例えば、約60°Cから約90°Cの間で維持され、エッチング処理は約30秒から約180秒の間実施されうる。
[0082] 上述のように、操作408の主要なエッチング処理後、操作410で示したように、ライナ層523が基板上に存在しないとき、処理は完了したとみなされる。これとは対照的に、図5Fに示すように、ライナ層523が存在し、マルチ材料層512の第1の層512aの側壁518にライナ加工されて、基板502上に露出している残存ライナ層523を除去するときには、処理は操作412に移行しうる。残存ライナ除去処理は、露出したライナ層523(例えば、第1の層512aの側壁518に形成されたライナ523)を基板502から除去する、乾式洗浄処理又は湿式洗浄処理を含む、任意の適切な洗浄処理であってよい。凹部516に形成された誘電体層524によって、埋め込まれ覆われたライナ層523は、操作412の残存ライナ除去処理後も基板502上に残っていることに留意されたい。このような残存ライナ除去処理は、第1の層512a及び第2の層512bを含むマルチ材料層512に悪影響を与えて損傷することなく、余剰のライナ層523と誘電体層524を問題なく除去できるように、マルチ材料層512中の真性エピSi層又はSiGe材料のように、誘電体層524並びにシリコン材料よりもライナ層523に対して高い選択性(例えば、酸化ケイ素層よりも、及び/又は真性シリコン層又はドープされたシリコン材料よりも、窒化ケイ素層に対して高い選択性)を有しうる。
[0083] 一実施例では、残存ライナ除去処理は、少なくとも水素(H)とNFガスを含む残存ライナ除去混合ガスを供給することによって実施されうる。残存ライナ除去混合ガスに供給される水素ガスとNFガスは、約0.5:1〜約15:1の比率、例えば、約2:1〜約9:1の比率(Hガス:NFガス)を有しうる。このようなガス比率制御下では、残存ライナ除去処理は、約0.7から約2.5までの間の酸化ケイ素対窒化ケイ素(SiO:SiN)選択性を有しうる。処理圧力は、約0.1Torr〜約10Torrに、例えば、約1Torr〜5Torrに制御されうる。幾つかの実施例では、Heガス又はArガスなどの不活性ガスはまた、残存ライナ除去混合ガスに供給されうる。一実施例では、Heガスなどの不活性ガスは、約400sccmから約1200sccmの間で供給されうる。15Wから約45Wまでの遠隔プラズマ電力は、残存ライナ除去処理を実施するために利用されうる。
[0084] NFガスに対するHガスの比率(Hガス:NFガス)が高くなればなるほど、窒化ケイ素層に対する酸化ケイ素層の選択性が高くなると考えられているが、理論によって制約されるわけではない。したがって、HガスとNFガスとの間の比率を調整することで、必要に応じて、酸化ケイ素層と窒化ケイ素層との間で望ましい選択性が得られうる。
[0085] 図6は、水平ゲートオールアラウンド(hGAA)半導体デバイス構造のための複合材料により、ナノワイヤ構造(例えば、チャネル構造)にナノワイヤスペーサを製造する方法600の別の実施例のフロー図である。図7A〜図7D2は、方法600の様々な段階に対応する複合基板の一部の概略断面図である。同様に、方法600は、基板上の水平ゲートオールアラウンド(hGAA)半導体デバイスのナノワイヤ構造にナノワイヤスペーサを形成するために利用されうる。代替的に、方法600は、他の種類の構造の製造にも有効に利用されうる。図7A〜図7D2に描かれたように、本書で用いられ、その結果として得られる構造(resultant structure)は、図5A〜図5Fに描かれた結果として得られる構造に類似しうることに留意されたい。
[0086] 方法600は、操作602で図1及び図5Aに描かれた基板502などの基板を準備することによって開始され、図7Aに示されたように、その上に膜スタック501を形成する。本書に記載の操作602及び604は、図4に描かれた操作402及び404に類似している。操作604での横方向エッチング処理後、凹部516は、図7Bに示したように、凹部内側壁532によって、マルチ材料層512内に画定される。操作406と実質的に同様に、ライナ充填処理は、マルチ材料層512内に画定される凹部516にライナ層702を充填するため、操作606で実施されうる。操作606でライナ層702は凹部516内に充填されるように要求されるため、ライナ充填処理を実施するために選択される処理は、堆積のため凹部516に対して利用しやすい、すなわち、再流動可能なある種の液状前駆体を利用してもよい。例えば、流動性CVD処理又はスピンオン堆積処理などの液体ベースの堆積処理が利用されうる。他の適切な堆積処理には、周期的層堆積(CLD)、原子層堆積(ALD)、プラズマ化学気相堆積(PE CVD)、物理的気相堆積(PVD)、或いは、画定された凹部516を含むマルチ材料層512の構造に誘電体層702を充填する任意の適切な堆積処理であってもよい。同様に、ライナ層702は、図7Cに示したように、凹部516を充填するのに十分な厚みと、マルチ材料層512の深さ525(例えば、図5D1及び図5D2に示した全体の厚み)を含む、マルチ材料層512内の開放領域とを有する基板502上のマルチ材料層512に充填されうる。
[0087] 一実施例では、流動性CVD処理は、図2に示した処理チャンバなどの流動性CVD処理チャンバ内でライナ充填堆積処理を実施するために利用される。堆積チャンバ200内で実施されるライナ充填堆積処理は、ライナ層702をポリシラザンベースのシリコン含有膜(PSZ膜)として形成する流動性CVD処理で、この膜は、トレンチ、特徴、ビア、凹部、又はポリシラザンベースのシリコン含有膜が堆積される基板に画定される他の開孔内で再流動可能かつ充填可能になりうる。
[0088] ライナ層702はその後ナノワイヤスペーサ構造を形成するために利用されるため、形成されるライナ層702の材料は、低誘電率材料などのhGAAナノワイヤ構造のゲートとソース/ドレイン構造との間の寄生キャパシタンスを低減しうるシリコン含有材料、すなわち、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、炭窒化ケイ素などのシリコン含有材料、又は、Applied Materialsから入手可能なBlack Diamond(登録商標)などの他の適切な材料、となるように選択される。
[0089] 一実施形態では、ライナ層702は、低誘電率材料(例えば、4未満の誘電率)、又は、酸化ケイ素/窒化ケイ素/炭化ケイ素含有材料で、十分な幅708を有して凹部516に形成される。
[0090] 操作608及び610では、凹部にライナ層702が充填された後、エッチング処理(操作610での等方性エッチング処理、又は操作608での非等方性エッチング処理)は、図7D1及び図7D2に示したように、剰余のライナ層702(例えば、凹部516上に形成されたライナ層702)をエッチングし、主として、マルチ材料層512に画定された凹部516にライナ層702を残すように実施され、これは、デバイス構造が完成した後に、特にhGAAデバイス構造に対してナノワイヤスペーサを形成するために利用されうる。
[0091] 操作610及び680でのエッチング処理(等方性エッチング処理又は非等方性エッチング処理のいずれか)は、ライナ層702が主として凹部516に充填された状態で残り、マルチ材料層512の第1の層512aの側壁518にほぼ揃えられた凹部外側壁704、706(操作610の等方性エッチング又は操作608の非等方性エッチング後の図7D1及び図7D2にそれぞれ示されている)を形成するように、マルチ材料層512から過充填されたライナ層702を(例えば、マルチ材料層512の第1の層512aの側壁518から)をエッチングするため、連続的に実施されうる。操作610での等方性エッチング処理は、何ら特定の指向性を有さないエッチャントを用いて実施されるため、当該エッチャントはライナ層702を広く浸食する傾向があり、その結果、図7D1に示したように、比較的丸い湾曲した、或いは非直線的な凹部外側壁704を作り出す。対照的に、操作608での非等方性エッチング処理は、エッチング中の基板表面に対して垂直方向など、特定の指向性を有するエッチャントを用いて実施されるため、エッチャントは特定の垂直方向を有するライナ層702を浸食する傾向があり、その結果、図7D2に示したように、比較的直線的、平坦、かつ均一な凹部外側壁706を作り出す。操作608及び610でのエッチング処理は共に、異なる処理要件及びデバイス構造要件に基づいて利用されうることに留意されたい。
[0092] 操作608での非等方性エッチング処理は、上述の操作408での主要なエッチング処理と同様になりうることに留意されたい。操作610での等方性エッチング処理に関しては、エッチャントが基板表面全体にわたってランダムに、普遍的に、或いは等方的に分布するように、等方性エッチング処理中にはRFバイアス電力は取り除かれてもよい。
[0093] 図8は、水平ゲートオールアラウンド(hGAA)半導体デバイス構造のための複合材料により、ナノワイヤ構造(例えば、チャネル構造)にナノワイヤスペーサを製造する方法800の別の実施例のフロー図である。図9A〜図9Cは、方法800の様々な段階に対応する複合基板の一部の断面図である。同様に、方法800は、基板上の水平ゲートオールアラウンド(hGAA)半導体デバイスのナノワイヤ構造にナノワイヤスペーサを形成するために利用されうる。代替的に、方法800は、他の種類の構造の製造にも有効に利用されうる。図9A〜図9Cに描かれたように、本書で用いられる結果として得られる構造は、図7A〜図7D2に描かれた結果として得られる構造に類似しうることに留意されたい。
[0094] 方法800は、図5Fに示したように結果として得られる構造と共に、操作412でのライナ除去処理を実施した後、操作412での処理を継続することによって、操作802から開始される。したがって、図9Aに示された構造は、図8に示された方法800の説明を容易にするため、図5Fの構造のレプリカになっている。既に説明したように、図9Aの構造(図5Fの構造と同じ)は、マルチ材料層512に画定された凹部516に充填された誘電体層524を含み、マルチ材料層512の第1の層512aの側壁518にほぼ揃えられた凹部外側壁530を画定する。
[0095] 操作804では、誘電体充填除去処理は、図9Bに示したように、凹部516から誘電体層524を除去し、マルチ材料層512に画定された凹部に露出されたライナ層523を残すように実施される。この具体的な実施例では、誘電体層524は除去されるように構成されているため、方法800に用いられるこの誘電体層524の品質要件は、上述の方法400で要求された誘電体層524ほどは高くなくてもよい。例えば、方法800に関して、図9A〜図9Cで描かれている実施例で採用されるように構成された誘電体層524は、有機ポリマー層などのダミー材料(例えば、低品質誘電体層)、アモルファスカーボン層、スピンオン被覆処理又は他の任意の適切な低温度処理などの低コスト処理で製造された酸化ケイ素層であってよい。方法800に関して、図9A〜図9Cに描かれている1つの具体的な実施例では、誘電体層524はアモルファスカーボン層になっている。
[0096] 一実施例では、誘電体充填除去処理は、基板から誘電体層524を容易に除去しうる、エッチング処理、アッシュ処理(灰処理)、又はストリップ処理(除去処理)であってよい。誘電体層524が図9Aに描かれているアモルファスカーボン層である実施例では、操作804で実施されるアッシュ処理(灰処理)、又はストリップ処理(除去処理)は、酸素含有ガスを利用しうる。代替的に、反応性イオンエッチング処理などの乾式又は湿式のエッチング処理を含む、任意の適切なエッチング処理はまた、必要に応じて、ライナ層523又は基板502の他の部分を損傷することなく、基板502から誘電体層524を選択的に除去するために利用されうる。
[0097] 操作806では、誘電体層524が除去された後、図9Cに示したように、マルチ材料層512の第1の層512aからエピシリコン層902を選択的に成長させるため、エピタキシャル堆積処理が実施される。この実施例では、真性シリコン材料から製造するため第1の層512aが選択されるので、操作806で実施されるエピタキシャル堆積処理は、凹部516に露出しているライナ層(例えば、真性シリコン材料ではなくシリコン誘電体層など)ではなく、第1の層512a(例えば、シリコンと互換性のある材料)の側壁518から成長しうる。第1の層512aの側壁518から成長したエピシリコン層902だけが、マルチ材料層512に画定された凹部516に向かってわずかに突出する先端部分906を含み、その結果、先端部分906によって占有される領域を除き、凹部516内の空間の大部分を占める空隙904を凹部516内に形成する。凹部516内に形成される空隙904は、基板上の水平ゲートオールアラウンド(hGAA)半導体デバイスのナノワイヤ構造にナノワイヤスペーサ(例えば、空隙スペーサ)を形成するため、あとで利用されうる。
[0098] 図10は、水平ゲートオールアラウンド(hGAA)半導体デバイス構造のための複合材料により、ナノワイヤ構造(例えば、チャネル構造)にナノワイヤスペーサを製造する方法1000の別の実施例のフロー図である。図11A〜図11Dは、方法1000の様々な段階に対応する複合基板の一部の概略断面図である。同様に、方法1000は、基板上の水平ゲートオールアラウンド(hGAA)半導体デバイスのナノワイヤ構造にナノワイヤスペーサを形成するために利用されうる。代替的に、方法1000は、他の種類の構造の製造にも有効に利用されうる。図11A〜図11Dに描かれたように、本書で用いられる結果として得られる構造は、図5A〜図5F、又は図7A〜図7D2、又は図9A〜図9Cに描かれた結果として得られる構造に類似しうることに留意されたい。
[0099] 方法1000は、図5Cに示したように結果として得られる構造と共に、操作405でのライナ層堆積処理を実施した後、操作405での処理を継続することによって、操作1002から開始される。したがって、図11Aに示された構造は、図10に示された方法1000の説明を容易にするため、図5Cの構造のレプリカになっている。既に説明したように、図11Aの構造(図5Cの構造と同じ)は、マルチ材料層512並びに基板502の表面を覆うライナ層523を含む。ライナ層523は、良好な界面接着を有する界面保護、並びに、良好な一様性、共形性、接着及び平面性を有して形成される材料の平面性を提供しうる。
[00100] 操作1004では、図11Bに示したように、酸化処理工程は、主として第1の層512aの側壁518上のライナ層523を処理し、第1の層512aの側壁518に主として配置されるライナ改質領域1102を形成するように実施される。凹部516の内表面及び/又は第2の層512bの側壁522上に配置されたライナ層523は、ライナ層が第1の層512aによってマルチ材料層512から実質的に遮蔽されているため、未改質/未変化のまま留まる。選択的酸化処理によって、ライナ層523の部分のみが処理されてライナ改質領域1102に変換され、このライナ改質領域は、選択的エッチング処理によって、基板502から容易に除去されうる。
[00101] 一実施例では、酸化処理工程は、主として第1の層512aの側壁518上に配置される部分を選択的に処理することによって実施される。酸化処理工程は、酸素核種による任意の適切なプラズマ処理であってよい。酸素核種の適切な実施例は、必要に応じて、O、HO、H及びOなどの酸素含有ガスから形成されるプラズマからなりうる。
[00102] 一実装では、酸化処理工程は、プラズマ含有環境(分離性プラズマ酸化又は急速熱酸化など)、熱環境(焼成炉など)、又は熱プラズマ環境(APCVD、SACVD、LPCVD、又は任意の適切なCVD処理)で実施されうる。酸化処理工程は、主として第1の層512aの側壁518上でライナ層と反応する処理環境内の酸素含有混合ガスを使用することによって、実施されうる。一実装では、酸素含有混合ガスは、不活性ガスを有する又は有さない酸素含有ガスのうちの少なくとも1つを含む。酸素含有ガスの適切な実施例には、O、O、HO、NO、NO、水蒸気、水分などが含まれる。混合ガスと共に供給される不活性ガスの適切な例には、Ar、He、Krなどのうちの少なくとも1つが含まれる。例示的な実施形態では、酸素含有混合ガスに供給される酸素含有ガスはOガスである。
[00103] 酸化処理工程中、幾つかの処理パラメータは酸化処理を制御するため調整されうる。一実施形態では、処理圧力は約0.1Torrから大気圧(例えば、760Torr)程度の間で制御される。一実施例では、操作304で実施される酸化処理は、例えば100Torr以上、例えば約300Torrから大気圧までの間など、比較的高い堆積圧力を有するように構成される。操作1004で選択的な酸化処理工程を実施するために利用されうる適切な技術は、必要に応じて、分離性プラズマ酸化物プロセス(DPO)、プラズマ化学気相堆積プロセス(PECVD)、低圧力化学気相堆積プロセス(LPCVD)、準大気圧化学気相堆積プロセス(SACVD)、大気圧化学気相堆積プロセス(APCVD)、熱焼成炉処理、酸素アニーリング処理、プラズマ浸漬処理、又は任意の適切な処理を含みうる。一実装では、酸化処理は、紫外(UV)光照射下で実施されうる。
[00104] 操作1006では、選択的ライナ除去処理は、図11Cに示したように、基板502からライナ改質領域1102を選択的に除去し、マルチ材料層512の凹部にライナ層523の一部のみを残すように実施される。ライナ改質領域1102が基板502から除去されるため、第1の層512aの側壁518は露出する。選択的ライナ除去処理は、必要に応じて、基板502上に残っているライナ層523を浸食することなく、ライナ改質領域1102を主に除去する高い選択性をもたらしうる、湿式エッチング又は乾式エッチングを含む、任意の適切なエッチング処理であってよい。
[00105] 操作1008では、操作806と同様に、図11Dに示したように、マルチ材料層512の第1の層512aからエピシリコン層1104を選択的に成長させるため、エピタキシャル堆積処理が実施される。この実施例の第1の層512aは、真性シリコン材料から作られるように選択され、操作1006での選択的なライナ除去処理後に露出されるため、操作1008で実施されるエピタキシャル堆積処理は、凹部516に残存しているライナ層523(例えば、真性シリコン材料ではなくシリコン誘電体層など)ではなく、第1の層512a(例えば、シリコンと互換性のある材料)の側壁518から成長しうる。第1の層512aの側壁518から成長したエピシリコン層1104だけが、マルチ材料層512に画定された凹部516に向かってわずかに突出する先端部分1106を含み、その結果、先端部分1106によって占有される領域を除き、凹部516内の空間の大部分を占める空隙1108を凹部516内に形成する。凹部516内に形成される空隙1108は、基板上の水平ゲートオールアラウンド(hGAA)半導体デバイスのナノワイヤ構造にナノワイヤスペーサ(例えば、空隙スペーサ)を形成するため、あとで利用されうる。
[00106] 更に別の実施例では、ライナ523が操作1002で図11Aの(或いは、操作405で図5Cの)基板上に形成された後に、空隙が凹部516に形成されることが望ましい場合には、図11Cに示したように、主に第1の層512aの側壁518に形成されたライナ層523を選択的に除去するため、処理はスキップされて操作1006まで飛び越える。こうすることで、製造コストを削減するため、操作802でのダミー誘電体層形成処理、或いは、操作1004での酸化処理工程は省略しうる。その結果、図11Dに示したように、操作1008及び操作806と同様に、マルチ材料層512の第1の層512aからエピシリコン層1104を選択的に成長させるため、エピタキシャル堆積処理が実施される。
[00107] 図12は、第1の層512aと第2の層512bとのペアを有するマルチ材料層512の概略図を示し、そこにおいて形成されたナノワイヤスペーサ1202は、水平ゲートオールアラウンド(hGAA)構造1200内で利用される。水平ゲートオールアラウンド(hGAA)構造1200は、ソース/ドレインアンカー1206(ソースアンカー及びドレインアンカーはそれぞれ1206a、1206bとして示されている)とゲート構造1204との間ナノワイヤ(例えば、チャネル)として、マルチ材料層を利用する。図12のマルチ材料層512の断面図に示したように、第2の層512bの底部(例えば、端部)に形成されたナノワイヤスペーサ1202(図5E1、図7D1及び図7D2に示した誘電体層524、702、或いは図9C及び図11Dに示した空隙904、1108など)は、寄生キャパシタンスを低減し、最小限のデバイス漏洩を維持するため、第2の層512bがゲート構造1204及び/又はソース/ドレインアンカー1206aに接触する界面の管理を支援しうる。
[00108] このように、水平ゲートオールアラウンド(hGAA)構造のため、寄生キャパシタンスを低減し、デバイス漏洩を最小限に抑えたナノワイヤ構造を形成する方法が提供される。この方法は、あとで水平ゲートオールアラウンド(hGAA)構造を形成するために利用されうる界面で、低減された寄生キャパシタンスと最小限のデバイス漏洩を有するナノワイヤ構造にナノワイヤスペーサとして形成する誘電体層又は空隙を利用する。したがって、特に、水平ゲートオールアラウンド電界効果トランジスタ(hGAA FET)の応用に関しては、希望する種類の材料とデバイス電気性能を有する水平ゲートオールアラウンド(hGAA)構造が得られうる。
[00109] 上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明のその他の実施形態及び更なる実施形態を考案してもよく、本発明の範囲は、下記の特許請求の範囲によって決定される。

Claims (20)

  1. 基板上にナノワイヤ構造のためのナノワイヤスペースを形成する方法であって、
    処理されるマルチ材料層を上部に有する基板上で横方向エッチング処理を実施することであって、前記マルチ材料層は第1の層と第2の層のペアの繰り返しを含み、前記第1の層と前記第2の層はそれぞれ前記マルチ材料層内で露出される第1の側壁と第2の側壁を有し、前記横方向エッチング処理は主として、前記第2の層を通して前記第2の層をエッチングして前記第2の層に凹部を形成する、横方向エッチング処理を実施することと、
    前記凹部を誘電体材料で充填することと、
    前記凹部の外へ延在する誘電体層を除去することと
    を含む方法。
  2. 前記凹部に前記誘電体材料を充填する前に、前記凹部にライナ層を形成することを更に含む、請求項1に記載の方法。
  3. 前記凹部に前記誘電体層を充填する前に、前記第1の層の前記第1の側壁上に形成された前記ライナ層を除去することを更に含む、請求項2に記載の方法。
  4. 前記ライナ層は2つ以上の層を含む、請求項2に記載の方法。
  5. 前記ライナ層は、窒化ケイ素、酸窒化ケイ素、酸炭化ケイ素、炭窒化ケイ素、又は酸炭窒化ケイ素、又はドーパントを含むシリコン材料である、請求項2に記載の方法。
  6. 前記ライナ層はALD処理で製造される、請求項2に記載の方法。
  7. 前記ライナ層は約0.5nmから約5nmの間の厚さを有する、請求項2に記載の方法。
  8. 前記マルチ材料層の前記第1の層は真性シリコン層であり、前記マルチ材料層の前記第2の層はSiGe層であり、一方、前記基板はシリコン基板である、請求項1に記載の方法。
  9. 前記凹部の前記誘電体層を、水平ゲートオールアラウンド(hGAA)構造内のナノワイヤスペーサとして形成することを更に含む、請求項1に記載の方法。
  10. 前記誘電体層は、窒化ケイ素、酸化ケイ素、酸窒化ケイ素、炭化ケイ素、酸炭化ケイ素、炭窒化ケイ素、及びドープされたシリコン層からなる群から選択される、請求項1に記載の方法。
  11. 前記凹部を前記誘電体材料で充填することは、前記基板からアモルファスカーボンを充填することを含む、請求項1に記載の方法。
  12. 前記誘電体層を除去することは更に、
    前記凹部を満たした前記誘電体層を等方性エッチング処理によって、又は異方性エッチング処理によってエッチングすることを含む、請求項1に記載の方法。
  13. 前記マルチ材料層の前記第1の層の第1の側壁からエピシリコン層を形成することを更に含む、請求項3に記載の方法。
  14. 前記凹部に空隙を形成することを更に含む、請求項13に記載の方法。
  15. 前記凹部の前記空隙を、水平ゲートオールアラウンド(hGAA)構造内のナノワイヤ空隙スペーサとして形成することを更に含む、請求項14に記載の方法。
  16. 主として前記第1の層の前記第1の側壁上に形成される酸化改質層を形成するため、前記ライナ層上で酸化物処理工程を実施することを更に含む、請求項3に記載の方法。
  17. 前記凹部内の前記ライナ層を、前記酸化物処理工程から変化しないように維持することを更に含む、請求項16に記載の方法。
  18. 前記凹部内に残された前記ライナ層を維持する間に、前記第1の層の前記第1の側壁から、前記酸化改質層を選択的に除去することを更に含む、請求項17に記載の方法。
  19. 前記マルチ材料層の前記第1の層の前記第1の側壁からエピシリコン層を形成することを更に含む、請求項18に記載の方法。
  20. 前記凹部に空隙を形成することを更に含む、請求項19に記載の方法。
JP2018534794A 2016-01-05 2016-12-29 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法 Active JP6856651B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201662275083P 2016-01-05 2016-01-05
US62/275,083 2016-01-05
PCT/US2016/069272 WO2017120102A1 (en) 2016-01-05 2016-12-29 Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications

Publications (2)

Publication Number Publication Date
JP2019500756A true JP2019500756A (ja) 2019-01-10
JP6856651B2 JP6856651B2 (ja) 2021-04-07

Family

ID=59235858

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2018534794A Active JP6856651B2 (ja) 2016-01-05 2016-12-29 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法

Country Status (6)

Country Link
US (1) US20170194430A1 (ja)
JP (1) JP6856651B2 (ja)
KR (1) KR102577628B1 (ja)
CN (1) CN108475695B (ja)
TW (1) TWI708322B (ja)
WO (1) WO2017120102A1 (ja)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022165287A (ja) * 2021-04-19 2022-10-31 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP2022550433A (ja) * 2019-10-02 2022-12-01 アプライド マテリアルズ インコーポレイテッド ゲートオールアラウンドi/oエンジニアリング
JP2022552417A (ja) * 2019-10-21 2022-12-15 アプライド マテリアルズ インコーポレイテッド 水平ゲートオールアラウンド(hGAA)ナノワイヤ及びナノスラブトランジスタ

Families Citing this family (69)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10056265B2 (en) * 2016-03-18 2018-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Directed self-assembly process with size-restricted guiding patterns
KR102384818B1 (ko) 2016-04-25 2022-04-08 어플라이드 머티어리얼스, 인코포레이티드 수평 게이트 올어라운드 디바이스 나노와이어 에어 갭 스페이서 형성
KR102564325B1 (ko) * 2017-01-04 2023-08-07 삼성전자주식회사 다수의 채널 영역을 가지는 반도체 장치
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10269983B2 (en) * 2017-05-09 2019-04-23 Globalfoundries Inc. Stacked nanosheet field-effect transistor with air gap spacers
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
US9954058B1 (en) 2017-06-12 2018-04-24 International Business Machines Corporation Self-aligned air gap spacer for nanosheet CMOS devices
CN109216454A (zh) * 2017-07-07 2019-01-15 中芯国际集成电路制造(北京)有限公司 纳米线晶体管及其形成方法
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10103238B1 (en) * 2017-07-18 2018-10-16 Globalfoundries Inc. Nanosheet field-effect transistor with full dielectric isolation
FR3070089B1 (fr) * 2017-08-11 2019-12-20 Commissariat A L'energie Atomique Et Aux Energies Alternatives Procede de formation de regions d'extension dopees dans une structure a nanofils superposes
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN109427582B (zh) 2017-08-22 2021-09-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US10177227B1 (en) * 2017-08-28 2019-01-08 Applied Materials, Inc. Method for fabricating junctions and spacers for horizontal gate all around devices
US10361278B2 (en) 2017-08-30 2019-07-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102017124637A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
US11177128B2 (en) 2017-09-12 2021-11-16 Applied Materials, Inc. Apparatus and methods for manufacturing semiconductor structures using protective barrier layer
KR102353931B1 (ko) * 2017-09-13 2022-01-21 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10468311B2 (en) 2017-10-06 2019-11-05 International Business Machines Corporation Nanosheet substrate isolated source/drain epitaxy by nitrogen implantation
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111373519B (zh) 2017-11-16 2021-11-23 应用材料公司 高压蒸气退火处理设备
KR102399071B1 (ko) * 2017-11-17 2022-05-17 삼성전자주식회사 반도체 장치
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
US10553696B2 (en) * 2017-11-21 2020-02-04 International Business Machines Corporation Full air-gap spacers for gate-all-around nanosheet field effect transistors
US10903109B2 (en) 2017-12-29 2021-01-26 Micron Technology, Inc. Methods of forming high aspect ratio openings and methods of forming high aspect ratio features
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
WO2019246574A1 (en) * 2018-06-22 2019-12-26 Tokyo Electron Limited Method for forming a nanowire device
US11342411B2 (en) 2018-06-29 2022-05-24 Intel Corporation Cavity spacer for nanowire transistors
US20200035513A1 (en) * 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11239359B2 (en) * 2018-09-29 2022-02-01 International Business Machines Corporation Fabricating a gate-all-around (GAA) field effect transistor having threshold voltage asymmetry by thinning source side lateral end portion of the nanosheet layer
CN111081546B (zh) * 2018-10-19 2024-01-26 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
JP7179172B6 (ja) 2018-10-30 2022-12-16 アプライド マテリアルズ インコーポレイテッド 半導体用途の構造体をエッチングするための方法
US10861722B2 (en) * 2018-11-13 2020-12-08 Applied Materials, Inc. Integrated semiconductor processing
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN111477548B (zh) * 2019-01-23 2023-09-22 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管的形成方法
WO2020172208A1 (en) * 2019-02-20 2020-08-27 Tokyo Electron Limited Method for selective etching at an interface between materials
US10665669B1 (en) 2019-02-26 2020-05-26 Globalfoundries Inc. Insulative structure with diffusion break integral with isolation layer and methods to form same
US11165032B2 (en) * 2019-09-05 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor using carbon nanotubes
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
JP7414593B2 (ja) * 2020-03-10 2024-01-16 東京エレクトロン株式会社 基板処理方法及び基板処理装置
US11437492B2 (en) * 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
DE102021108179A1 (de) * 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Multigate-bauelemente mit mehrschichtigen inneren abstandshaltern und verfahren zu deren fertigung
US11908919B2 (en) 2020-05-28 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd Multi-gate devices with multi-layer inner spacers and fabrication methods thereof
US11508828B2 (en) * 2020-07-06 2022-11-22 Applied Materials, Inc. Selective silicon etch for gate all around transistors
US11545397B2 (en) * 2020-07-15 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure for semiconductor device and method for forming the same
US11843033B2 (en) 2021-01-28 2023-12-12 Applied Materials, Inc. Selective low temperature epitaxial deposition process
US20230030436A1 (en) * 2021-07-30 2023-02-02 Applied Materials, Inc. Plasma treatment process to densify oxide layers
US20230037320A1 (en) * 2021-08-06 2023-02-09 Applied Materials, Inc. Anisotropic sige:b epitaxial film growth for gate all around transistor
EP4199099A1 (en) * 2021-12-17 2023-06-21 Imec VZW A method for forming a semiconductor device
US11908693B2 (en) 2022-02-11 2024-02-20 Nanya Technology Corporation Method for preparing semiconductor device structure with lining layer
WO2024091478A1 (en) * 2022-10-26 2024-05-02 Applied Materials, Inc. Surface modifiers for enhanced epitaxial nucleation and wetting
US20240194757A1 (en) * 2022-12-07 2024-06-13 Applied Materials, Inc. Multilayer inner spacer for gate-all-around device

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128508A (ja) * 2002-10-01 2004-04-22 Samsung Electronics Co Ltd 多重チャンネルを有するモストランジスター及びその製造方法
JP2008536323A (ja) * 2005-04-13 2008-09-04 コミツサリア タ レネルジー アトミーク 1つ以上トランジスタチャネルを形成することができる1本以上の量子ワイヤが設けられたマイクロ電子デバイスを製造するための構造体および方法
JP2010283343A (ja) * 2009-05-19 2010-12-16 Commissariat A L'energie Atomique & Aux Energies Alternatives 半導体構造および半導体構造を製作する方法
US20140001441A1 (en) * 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
CN103779226A (zh) * 2012-10-23 2014-05-07 中国科学院微电子研究所 准纳米线晶体管及其制造方法
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices
US20160043225A1 (en) * 2014-08-05 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar Device and Strain-Generating Channel Dielectric
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors
US20170069763A1 (en) * 2015-09-04 2017-03-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR970013116A (ko) * 1995-08-28 1997-03-29 김주용 반도체소자의 제조방법
US9484462B2 (en) * 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
CN104126228B (zh) * 2011-12-23 2016-12-07 英特尔公司 非平面栅极全包围器件及其制造方法
TWI467666B (zh) * 2011-12-28 2015-01-01 Univ Nat Chiao Tung 具有奈米線通道之半導體元件的製程及藉此形成之半導體元件
US8969149B2 (en) * 2013-05-14 2015-03-03 International Business Machines Corporation Stacked semiconductor nanowires with tunnel spacers
US9484423B2 (en) * 2013-11-01 2016-11-01 Samsung Electronics Co., Ltd. Crystalline multiple-nanosheet III-V channel FETs
KR102168475B1 (ko) * 2014-03-24 2020-10-21 인텔 코포레이션 나노와이어 디바이스들을 위한 내부 스페이서들을 제조하는 집적 방법들
US9490340B2 (en) * 2014-06-18 2016-11-08 Globalfoundries Inc. Methods of forming nanowire devices with doped extension regions and the resulting devices
US9508831B2 (en) * 2014-06-19 2016-11-29 Applied Materials, Inc. Method for fabricating vertically stacked nanowires for semiconductor applications
US9293523B2 (en) * 2014-06-24 2016-03-22 Applied Materials, Inc. Method of forming III-V channel
US10096712B2 (en) * 2015-10-20 2018-10-09 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming and monitoring quality of the same

Patent Citations (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2004128508A (ja) * 2002-10-01 2004-04-22 Samsung Electronics Co Ltd 多重チャンネルを有するモストランジスター及びその製造方法
JP2008536323A (ja) * 2005-04-13 2008-09-04 コミツサリア タ レネルジー アトミーク 1つ以上トランジスタチャネルを形成することができる1本以上の量子ワイヤが設けられたマイクロ電子デバイスを製造するための構造体および方法
JP2010283343A (ja) * 2009-05-19 2010-12-16 Commissariat A L'energie Atomique & Aux Energies Alternatives 半導体構造および半導体構造を製作する方法
US8384069B2 (en) * 2009-05-19 2013-02-26 Commissariat à l'énergie atomique et aux énergies alternatives Semiconductor structure having blocks connected by nanowires
US20140001441A1 (en) * 2012-06-29 2014-01-02 Seiyon Kim Integration methods to fabricate internal spacers for nanowire devices
CN103779226A (zh) * 2012-10-23 2014-05-07 中国科学院微电子研究所 准纳米线晶体管及其制造方法
US20150255594A1 (en) * 2012-10-23 2015-09-10 Institute of Microelectronics, Chinese Academy of Sciences Quasi-nanowire transistor and method of manufacturing the same
US20150333162A1 (en) * 2014-05-16 2015-11-19 Globalfoundries Inc. Methods of forming nanowire devices with metal-insulator-semiconductor source/drain contacts and the resulting devices
US20160043225A1 (en) * 2014-08-05 2016-02-11 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar Device and Strain-Generating Channel Dielectric
US9276064B1 (en) * 2014-11-07 2016-03-01 Globalfoundries Inc. Fabricating stacked nanowire, field-effect transistors
US20170069763A1 (en) * 2015-09-04 2017-03-09 International Business Machines Corporation Atomic layer deposition sealing integration for nanosheet complementary metal oxide semiconductor with replacement spacer

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2022550433A (ja) * 2019-10-02 2022-12-01 アプライド マテリアルズ インコーポレイテッド ゲートオールアラウンドi/oエンジニアリング
JP2022552417A (ja) * 2019-10-21 2022-12-15 アプライド マテリアルズ インコーポレイテッド 水平ゲートオールアラウンド(hGAA)ナノワイヤ及びナノスラブトランジスタ
JP2022165287A (ja) * 2021-04-19 2022-10-31 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
JP7305700B2 (ja) 2021-04-19 2023-07-10 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム

Also Published As

Publication number Publication date
CN108475695A (zh) 2018-08-31
KR20180091939A (ko) 2018-08-16
CN108475695B (zh) 2021-10-15
KR102577628B1 (ko) 2023-09-13
US20170194430A1 (en) 2017-07-06
WO2017120102A1 (en) 2017-07-13
JP6856651B2 (ja) 2021-04-07
TW201735256A (zh) 2017-10-01
TWI708322B (zh) 2020-10-21

Similar Documents

Publication Publication Date Title
JP6856651B2 (ja) 半導体アプリケーション用の水平ゲートオールアラウンドデバイスのためのナノワイヤ製造方法
TWI774793B (zh) 用於製造半導體應用的奈米線之選擇性氧化
US11848369B2 (en) Horizontal gate-all-around device nanowire air gap spacer formation
US10825680B2 (en) Directional deposition on patterned structures
US10468251B2 (en) Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
KR101274960B1 (ko) 붕소 질화물 및 붕소-질화물 유도 물질들 증착 방법
US9214377B2 (en) Methods for silicon recess structures in a substrate by utilizing a doping layer
US20130260564A1 (en) Insensitive dry removal process for semiconductor integration
US20090087977A1 (en) Low temperature conformal oxide formation and applications
TWI773850B (zh) 用於形成鰭式場效電晶體的單電漿室中之原子層沉積及蝕刻
US11264460B2 (en) Vertical transistor fabrication for memory applications
KR102092760B1 (ko) 층간 폴리실리콘 유전체 캡 및 그것을 형성하는 방법
KR20220016446A (ko) 통합 유동성 저 k 갭 충전 및 플라즈마 처리
TWI716441B (zh) 用於製造對於半導體應用的水平環繞式閘極裝置的奈米線的方法
TWI733172B (zh) 晶舟及使用晶舟的爐管機台以及形成膜層的方法
CN116670802A (zh) 用于半导体装置形成的底层膜
WO2019245702A1 (en) Pulsed plasma deposition etch step coverage improvement
TWI834038B (zh) 具有均勻階梯高度之淺溝槽隔離結構
WO2024006211A1 (en) Deposition and etch of silicon-containing layer
US9355820B2 (en) Methods for removing carbon containing films

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20191223

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20200827

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20200901

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20201201

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210216

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210318

R150 Certificate of patent or registration of utility model

Ref document number: 6856651

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250