JP7299898B2 - 高圧アニールを用いたシーム修復 - Google Patents

高圧アニールを用いたシーム修復 Download PDF

Info

Publication number
JP7299898B2
JP7299898B2 JP2020540438A JP2020540438A JP7299898B2 JP 7299898 B2 JP7299898 B2 JP 7299898B2 JP 2020540438 A JP2020540438 A JP 2020540438A JP 2020540438 A JP2020540438 A JP 2020540438A JP 7299898 B2 JP7299898 B2 JP 7299898B2
Authority
JP
Japan
Prior art keywords
substrate
conformal layer
high pressure
features
seam
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020540438A
Other languages
English (en)
Other versions
JP2021511671A (ja
Inventor
イーホン チェン,
ルイ チェン,
プラミット マンナ,
アブヒジット バス マリック,
シーシー チアン,
ヨン ウー,
カーティス レシュキーズ,
シュリーニヴァース ガンディコッタ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2021511671A publication Critical patent/JP2021511671A/ja
Application granted granted Critical
Publication of JP7299898B2 publication Critical patent/JP7299898B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F1/00Details not covered by groups G06F3/00 - G06F13/00 and G06F21/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67126Apparatus for sealing, encapsulating, glassing, decapsulating or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68742Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by a lifting arrangement, e.g. lift pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/687Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
    • H01L21/68714Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
    • H01L21/68771Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by supporting more than one semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Description

[0001] 本開示の実施形態は、広くは、集積回路製造方法に関し、詳細には、半導体デバイスのシーム欠陥を修正することに関する。
[0002] 半導体デバイスの小型化は、デバイスを形成する材料層の幾何学的形状および配置の増大する複雑さを必要とし続ける。これらのうち、トレンチおよびビアなどの、半導体デバイス上に形成されるフィーチャを、材料で適切に充填することは、フィーチャのサイズが縮小することにより、ますます困難になっている。
[0003] フィーチャは、典型的には、化学気相堆積(CVD)、物理気相堆積(PVD)、またはめっきプロセスなどの堆積プロセスによって充填され、その結果として、フィーチャの充填が最適にならない可能性がある。問題は、フィーチャの上面における材料の蓄積から生じる。フィーチャの縁部におけるこのような材料の蓄積は、フィーチャを完全にかつ均一に充填する前にフィーチャを塞ぎ、または遮断し、フィーチャ内にボイド、シーム、および不均一な構造の形成をもたらす可能性がある。数十ナノメートルの範囲のトレンチなどの、より小さな幾何学的形状のデバイスで使用されるより小さなフィーチャは、より大きな幾何学的形状のデバイスが有するアスペクト比よりも大きなアスペクト比(すなわち、フィーチャの幅に対する高さの関係)を、必然的に有し、それによって、上述のトレンチおよびビア充填の困難性を悪化させる。
[0004] 従来のアプローチは、フィーチャ内のシームおよびキャビティを修復する試みにおいて、堆積およびアニールの複数サイクルを利用する。しかしながら、これらの従来のアプローチは、極めて時間のかかるプロセス時間をもたらす。さらに、これらのアプローチは、半導体デバイスの材料を損傷し、半導体デバイスの動作における信頼性の問題を引き起こす可能性がある。
[0005] したがって、シーム欠陥を修正する改良された方法が必要とされている。
[0006] 一実施形態では、複数の基板フィーチャを有する基板を処理チャンバ内に配置することと、基板フィーチャ上に共形層を堆積させることと、高圧アニールで基板を処理することとを含む、基板を処理する方法が提供される。共形層が堆積されるとき、隣接する基板フィーチャ間にシームが形成される。高圧アニールは、酸化剤の存在下で行われる。共形層内のシームの体積は、高圧アニールにより縮小する。
[0007] 別の実施形態では、複数の基板フィーチャを有する基板を処理チャンバ内に配置することと、基板フィーチャ上に共形層を堆積させることと、高圧アニールで基板を処理することとを含む、基板を処理する方法が提供される。共形層は、構造が結晶質である。共形層が堆積されるとき、隣接する基板フィーチャ間にシームが形成される。高圧アニールは、酸化剤の存在下で行われる。共形層内のシームの体積は、高圧アニールにより縮小する。
[0008] 別の実施形態では、複数の基板フィーチャを有する基板を処理チャンバ内に配置することと、基板フィーチャ上に共形層を堆積させることと、高圧アニールで基板を処理することとを含む、基板を処理する方法が提供される。共形層は、構造が結晶質である。共形層が堆積されるとき、隣接する基板フィーチャ間にシームが形成される。高圧アニールは、酸化剤の存在下で行われる。共形層内のシームの体積は、高圧アニールにより縮小する。共形層の体積は、高圧アニール中に膨張する。
[0009] 高圧アニールは、半導体の隣接するフィーチャ上に配置されている共形膜間に位置するシームのサイズを小さくするのに役立つ。シームサイズの減少は、共形膜の電気絶縁特性を上昇させる。
[0010] 本開示の上記の特徴を詳細に理解することができるように、上記で簡単に要約した本開示のより具体的な説明を、実施形態を参照することによって行うことができ、そのいくつかを添付の図面に示す。しかしながら、添付の図面は、例示的な実施形態のみを示し、したがって、本開示は他の等しく有効な実施形態を認めることができるので、範囲を限定すると見なされるべきではないことに留意されたい。
本開示の一実施形態による基板の処理方法のフローチャートである。 図1の方法の一工程における基板の断面図である。 図1の方法の一工程における基板の断面図である。 図1の方法の一工程における基板の断面図である。 図1の方法の一工程における基板の断面図である。 例示的なアニールチャンバの断面図である。
[0017] 理解を容易にするために、図面に共通する同一の要素は、可能であれば同一の参照番号を使用して示してある。ある実施形態の要素および特徴は、さらなる列挙なしに他の実施形態に有益に組み込まれ得ることが、企図される。
[0018] 本明細書で提供される開示の実施形態は、基板上に形成された相互接続部内のシームなどの欠陥を除去するために基板を処理する方法を含む。いくつかの実施形態では、この方法は、酸化剤の存在下の加圧環境中で基板を加熱することを含む。基板上に堆積された共形層は、シームの両側の共形層が互いと化学的に架橋し、シームを縮小または除去するように、体積が膨張する。本開示の実施形態は、基板フィーチャ上のシームのサイズを減少させるために有用であることが分かるが、これに限定されない。
[0019] 図1は、本開示の一実施形態による、基板210を処理するための方法100のフロー図である。図2A~図2Dは、方法100の段階における基板の概略断面図である。本開示の態様の説明を容易にするために、図2A~図2Dは、図1に関連して説明される。
[0020] 方法100は、工程102で始まる。工程102において、基板210が、処理チャンバ内に配置される。処理チャンバは、例えば、堆積チャンバである。基板210は、その上に形成された1つ以上の基板フィーチャ212を含む。ここで、基板フィーチャ212は、4つのトレンチである。基板210は、フォトマスク、半導体ウェハ、または他の工作物であってもよい。基板210は、いくつかの実施形態によれば、集積回路、受動(例えば、コンデンサ、インダクタ)および能動(例えば、トランジスタ、光検出器、レーザ、ダイオード)マイクロエレクトロニクスデバイスのうちのいずれかを作るための任意の材料を含む。基板210は、そのような能動および受動マイクロエレクトロニクスデバイス(またはそのフィーチャ)を、基板上に形成された1つ以上の導電層から分離する絶縁材料または誘電体材料を含む。一実施形態では、基板210は、例えば、二酸化ケイ素、窒化ケイ素、酸化アルミニウム、および他の誘電体材料などの1つ以上の誘電体層を含む半導体基板である。一実施形態では、基板210は、膜の1つ以上の層を含む。基板210の1つ以上の層は、導電層、半導体層、絶縁層、またはそれらの任意の組合せを含むことができる。
[0021] 工程104において、共形層214が、基板フィーチャ212内に堆積される。共形層214は、一実施形態によれば、二酸化ケイ素層などのケイ素含有層である。共形層214は、一実施形態によれば、酸化アルミニウムまたは酸化バナジウムなどの金属酸化物層である。共形層214は、堆積プロセスによって形成される。堆積プロセスは、いくつかの実施形態によれば、化学気相堆積(CVD)または原子層堆積(ALD)である。共形層214を堆積させるために、第1の前駆体が、処理チャンバ内に流される。前駆体は、ケイ素(Si)および水素(H)を含むことができる。いくつかの実施形態によれば、前駆体は、シラン前駆体、ジシラン前駆体、トリシラン前駆体、またはテトラシラン前駆体を含む。第1の前駆体は、酸化剤(例えば、オゾンまたは酸素ラジカル)などの第2の前駆体ガスと反応して、基板フィーチャ212内に二酸化ケイ素を形成する。堆積プロセスは、例えば、約150℃~約700℃、約250℃~約600℃、約300℃~約550℃、例えば、約350℃~約500℃の範囲の処理温度で行われる。加えて、堆積中、チャンバは、減圧に維持される。例えば、チャンバ内の圧力は、約5トル~約700トル、約10トル~約600トル、例えば、約15トル~約500トルであってもよい。
[0022] 図2Bに示すように、堆積された共形層214は、基板フィーチャ212内の基板210上に堆積される。共形層214は、基板フィーチャ212の形状に従い、それによって、基板フィーチャ212内にシーム216を形成する。シーム216は、明確にするためにサイズが誇張されていることに留意されたい。いくつかの態様において、基板フィーチャ212を画定する隣接する構造上に形成された共形層214は、それぞれの基板フィーチャ212の反対側の表面上の共形層214と接触するのに十分な厚さまで堆積されてもよい。別の言い方をすれば、シーム216は、必ずしも物理的なボイドを含む必要はなく、むしろ、シーム216は、共形層214の一部分240、250が、例えば基板フィーチャ212内で、互いと接触する結果として、形成されてもよい。シーム216の存在は、基板フィーチャ212内のギャップフィルの性能に、その電気絶縁特性を低下させることによって、負の影響を与える。
[0023] その上に共形層214を有する基板210は、工程106において、アニールチャンバに移送される。工程108において、基板210は、高圧アニールで処理される。高圧アニールは、水蒸気または水蒸気/酸素混合物などの酸化剤220の存在下で基板210に対して行われる。基板210は、アニール温度でアニールされる。アニール温度は、約100℃~約600℃であり、例えば、約150℃~約550℃、または約200℃~約500℃である。
[0024] 高圧アニールを行うために、アニールチャンバ内の圧力を所定の圧力まで上昇させる。所定の圧力は、約1バール~約90バールであり、例えば、約1バール~約80バール、または約1バール~約70バールである。上昇した圧力により、アニールチャンバの環境内の酸化剤220は、共形層214のシーム内に押し込められ、シーム内を下がって行く。基板210は、所望のソーク時間の間、高圧アニールで処理される。ソーク時間は、一般に約3分~約150分であり、例えば約5分~約120分である。
[0025] 高圧アニール中、酸化剤220は、高圧によってシーム216内に運ばれる。基板フィーチャ212内に堆積された共形層214は、図2Cの酸化剤220に曝される。矢印は、シーム216内への酸化剤220の流れを示す。アニールプロセスの間、共形層214は、酸化剤220への曝露の結果として酸化する。酸化の結果として、共形層214には、体積膨張が起きる。すなわち、共形層214の体積は、例えば仮想線で示される膨張した体積218まで、増加する。図2Cに示す膨張した体積218は、高圧アニール中に体積膨張が起きた共形層214を表す。
[0026] 高圧アニールの酸化プロセスの結果として、共形層214は、図2Dに示されるように、シーム216が実質的にない高密度化された酸化物に変換される。高圧アニール中に共形層214が膨張すると、シーム216を挟んで対向する共形層214の部分240、250などの、接触していない共形層214の部分が膨張し、ついには、これらの部分が互いと相互作用する。シーム境界での酸化は、酸化剤220の原子が各部分240、250と反応および/または架橋するように、部分240、250間の反応を促進する。シーム216を横切る部分240、250の反応および/または架橋は、一体化された構造の形成およびシームの除去をもたらす。共形層214が結晶質の構造である例では、酸化剤220は、部分240、250の結晶構造の接合をもたらし、それによってシーム216を除去する。
[0027] 共形層214内のシームの修復に加えて、工程208の高圧アニールは、付加的な酸化物の包含を介して共形層214を高密度化し、共形層214の電気絶縁特性を、さらに改善する。
[0028] 図1は、基板210を処理する一実施形態を示す。しかしながら、追加の実施形態も考えられる。別の態様では、工程104および108は、工程106なしで同じチャンバ内で行うことができる。別の態様では、工程104は、共形層214を形成するために、複数の堆積ステップまたはサイクルを含んでもよい。
[0029] さらに、共形層214は、ケイ素、ゲルマニウム、金属層、金属酸化物層、またはそれらの組み合わせなど、二酸化ケイ素以外の層であってもよいことが企図される。そのような実施形態では、共形層214を形成するために使用される金属は、アルミニウム、チタン、バナジウム、クロム、マンガン、鉄、コバルト、ニッケル、銅、イットリウム、ジルコニウム、ハフニウム、モリブデン、およびそれらの合金などを含む。金属は、互いと組み合わせて使用されてもよい。
[0030] 他の実施形態では、基板フィーチャ212内に材料を堆積させるために、CVD以外の堆積プロセスが使用されてもよいことが企図される。基板フィーチャ212内に堆積されるべき種々の材料に従って、例えば、原子層堆積(ALD)、プラズマALD、プラズマCVD、物理気相堆積(PVD)、めっき、または他の堆積方法が利用されてもよい。
[0031] さらに、図2A~図2Dは、その上にトレンチを有する基板210を示すが、トレンチ以外の基板フィーチャ212が、本開示の態様から利益を得ることができることが企図される。
[0032] 図3は、本明細書に記載の方法で実施することができる例示的な処理チャンバの概略断面図である。バッチ処理チャンバ300は、複数の基板335を処理するための内部容積部350内に配置されたカセット330を有する。バッチ処理チャンバ300は、内部容積部350を囲むチャンバ本体310と、チャンバ本体310上に配置されたリッド311とを有する。1つ以上のカートリッジヒータ312が、チャンバ本体310内に配置されている。抵抗ヒータなどのヒータ312は、チャンバ本体310を加熱するように構成される。ヒータ312への電力は、コントローラ380によって制御される。シェル320が、内部容積部350内に配置されている。絶縁ライナ316が、シェル320を囲んで、内部容積部150内に配置されている。絶縁ライナ316は、チャンバ本体310とシェル320との間の熱伝達を防止する。
[0033] カセット330は、チャンバ本体110の開口部354を通って延在するシャフト374に結合している。カセット330は、シャフト374に結合されたアクチュエータ370によって内部容積部350内に移動可能に配置されている。カセット130は、ローディング位置と処理位置との間での基板335の移送を容易にする。基板335は、チャンバ本体310に形成されたローディングポート395を通って内部容積部350に出し入れされる。シェル320は、カセット330が処理位置にあるとき、カセット330のリッド340に結合して、処理領域を画定し、ここで、基板335は、上昇した圧力および上昇した温度でアニールされる。
[0034] 処理中、酸化剤220などの処理流体が、入口ポート352を通って処理領域内に流される。入口ポート352は、カセット330の複数の開口部333を通って基板335と流体連通している。処理領域内に配置された補助ヒータ327が、処理容積部およびその中の基板335を加熱するように構成される。処理領域内の圧力および温度が、その中の基板335をアニールするために上昇させられる。処理流体は、出口ポート356を通って処理領域から排出される。
[0035] コントローラ380が、温度センサまたは圧力センサなどの複数のセンサ314に結合されている。センサ314は、内部容積部350内の状態を示す信号をコントローラ380に供給する。所望の方法で基板335を処理するために、コントローラ380は、処理流体の流れならびにヒータ312および補助ヒータ327に供給される電力を制御する。このようにして、コントローラ380は、本明細書に開示されるような工程を実行するために処理チャンバ300の諸側面を制御するように構成される。
[0036] 本明細書で開示される工程の一例において、1つ以上の基板フィーチャ212を含む基板210が、処理チャンバ内に配置される。共形層214が、基板210の基板フィーチャ212上に堆積される。基板210が、アニールチャンバに移送される。高圧アニールが、基板210上で実行され、共形層214は、隣接する共形層が互いと接触および/または化学的に反応するように、体積が膨張する。
[0037] 本明細書に記載される方法は、シームまたはボイドを実質的に含まない高品質の酸化物層をもたらす。層は、層の全体にわたって実質的に均一な仕方で酸化され、それによって、層全体にわたる酸素の分布の均一性が増加する。さらに、酸化物層の高密度化の均一性が、本明細書に記載の方法によって増加する。均一な高密度化はまた、改善されたエッチング選択性をもたらす。
[0038] 上記は、本開示の実施形態に向けられているが、本開示の他のおよびさらなる実施形態が、本開示の基本的な範囲から逸脱することなく考案されてもよく、本開示の範囲は、以下の特許請求の範囲によって決定される。

Claims (14)

  1. 基板を処理する方法であって、
    複数の基板フィーチャを有する前記基板を処理チャンバ内に配置することと、
    前記基板フィーチャ上に共形層を、隣接する基板フィーチャ間にシームが形成されるように、堆積させることと、
    前記共形層内の前記シームの体積が縮小するように、酸化剤の存在下において1バール~70バールの圧力で実行される高圧アニールを用いて前記基板を処理することと、
    を含み、
    前記基板を処理することが、前記基板フィーチャ上に配置された前記共形層を、隣接する前記基板フィーチャ上に配置された前記共形層と化学的に反応させる、
    方法。
  2. 前記酸化剤が水蒸気を含む、請求項1に記載の方法。
  3. 前記共形層が、ケイ素層、金属層、金属酸化物層、またはそれらの組み合わせを含む、請求項1に記載の方法。
  4. 前記複数の基板フィーチャが、トレンチを含む、請求項1に記載の方法。
  5. 前記複数の基板フィーチャ上に共形層を堆積させることが、化学気相堆積を含む、請求項1に記載の方法。
  6. 前記共形層を堆積させることが、前駆体を前記処理チャンバ内に流入させることを含み、前記前駆体が、ケイ素および水素を含む、請求項5に記載の方法。
  7. 前記共形層の体積が、前記高圧アニール中に膨張する、請求項1に記載の方法。
  8. 基板を処理する方法であって、
    複数の基板フィーチャを有する前記基板を処理チャンバ内に配置することと、
    前記基板フィーチャ上に、構造が結晶質である共形層を、隣接する基板フィーチャ間にシームが形成されるように、堆積させることと、
    前記共形層内の前記シームの体積が縮小するように、酸化剤の存在下において高圧アニールを用いて前記基板を処理することと、
    を含み、
    前記高圧アニールが1バール~70バールの圧力で実行され、
    前記基板を処理することが、前記基板フィーチャ上に配置された前記共形層を、隣接する前記基板フィーチャ上に配置された前記共形層と化学的に反応させる、
    方法。
  9. 前記高圧アニールが、5~120分の時間行われる、請求項8に記載の方法。
  10. 前記共形層が、ケイ素層、金属層、金属酸化物層、またはそれらの組み合わせを含む、請求項8に記載の方法。
  11. 前記複数の基板フィーチャが、トレンチを含む、請求項8に記載の方法。
  12. 前記複数の基板フィーチャ上に共形層を堆積させることが、化学気相堆積を含む、請求項8に記載の方法。
  13. 前記共形層を堆積させることが、前駆体を前記処理チャンバ内に流入させることを含み、前記前駆体が、ケイ素および水素を含む、請求項12に記載の方法。
  14. 基板を処理する方法であって、
    複数の基板フィーチャを有する前記基板を処理チャンバ内に配置することと、
    前記基板フィーチャ上に、構造が結晶質である共形層を、隣接する基板フィーチャ間にシームが形成されるように、堆積させることと、
    前記共形層内の前記シームの体積が縮小するように、酸化剤の存在下において1バール~70バールの圧力で実行される高圧アニールであって、前記共形層の体積が前記高圧アニール中に膨張する高圧アニールを用いて、前記基板を処理することと、
    を含み、
    前記基板を処理することが、前記基板フィーチャ上に配置された前記共形層を、隣接する前記基板フィーチャ上に配置された前記共形層と化学的に反応させる、
    方法。
JP2020540438A 2018-01-24 2019-01-03 高圧アニールを用いたシーム修復 Active JP7299898B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201862621423P 2018-01-24 2018-01-24
US62/621,423 2018-01-24
PCT/US2019/012161 WO2019147400A1 (en) 2018-01-24 2019-01-03 Seam healing using high pressure anneal

Publications (2)

Publication Number Publication Date
JP2021511671A JP2021511671A (ja) 2021-05-06
JP7299898B2 true JP7299898B2 (ja) 2023-06-28

Family

ID=67300123

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020540438A Active JP7299898B2 (ja) 2018-01-24 2019-01-03 高圧アニールを用いたシーム修復

Country Status (6)

Country Link
US (1) US10636669B2 (ja)
JP (1) JP7299898B2 (ja)
KR (1) KR102649241B1 (ja)
CN (1) CN111699549A (ja)
SG (1) SG11202006867QA (ja)
WO (1) WO2019147400A1 (ja)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2023140541A1 (ko) * 2022-01-24 2023-07-27 주식회사 에이치피에스피 반도체 공정의 절연막 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005303276A (ja) 2004-03-16 2005-10-27 Ishikawajima Harima Heavy Ind Co Ltd 半導体装置の製造方法
JP2009117404A (ja) 2007-11-01 2009-05-28 Dainippon Printing Co Ltd 薄膜トランジスタ基板及びその製造方法
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体

Family Cites Families (217)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5175123A (en) * 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
JP2998996B2 (ja) * 1990-12-05 2000-01-17 宮崎沖電気株式会社 半導体素子の製造方法
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JPH0567607A (ja) * 1991-03-20 1993-03-19 Mitsubishi Electric Corp 半導体装置の絶縁膜の平坦化方法
JPH05129296A (ja) * 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
JP3158259B2 (ja) * 1992-01-29 2001-04-23 株式会社東芝 成膜方法
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US6136664A (en) * 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
JP3225268B2 (ja) * 1997-09-18 2001-11-05 東京農工大学長 シリコン酸化物の改質方法
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
US20030148631A1 (en) * 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
JP2004127958A (ja) 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
KR101229100B1 (ko) 2005-06-10 2013-02-15 오브듀캇 아베 중간 스탬프를 갖는 패턴 복제
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
JP5024047B2 (ja) 2005-10-07 2012-09-12 株式会社ニコン 微小構造体の製造方法
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
KR101442238B1 (ko) * 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011062043A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) * 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
CN105247664B (zh) 2013-05-31 2018-04-10 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及炉口盖体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
KR101825673B1 (ko) 2013-08-21 2018-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 박막 제조들에서의 가변 주파수 마이크로파(vfm) 프로세스들 및 애플리케이션들
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) * 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
JP6871161B2 (ja) 2014-10-24 2021-05-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
SG10202012631SA (en) 2015-02-06 2021-01-28 Versum Materials Us Llc Compositions and methods using same for carbon doped silicon containing films
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
KR20190047139A (ko) 2016-09-30 2019-05-07 어플라이드 머티어리얼스, 인코포레이티드 자가-정렬 비아들을 형성하는 방법들
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP7184810B6 (ja) 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
US11114333B2 (en) * 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2005303276A (ja) 2004-03-16 2005-10-27 Ishikawajima Harima Heavy Ind Co Ltd 半導体装置の製造方法
JP2009117404A (ja) 2007-11-01 2009-05-28 Dainippon Printing Co Ltd 薄膜トランジスタ基板及びその製造方法
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体

Also Published As

Publication number Publication date
JP2021511671A (ja) 2021-05-06
KR20200103850A (ko) 2020-09-02
US10636669B2 (en) 2020-04-28
SG11202006867QA (en) 2020-08-28
US20190228982A1 (en) 2019-07-25
CN111699549A (zh) 2020-09-22
WO2019147400A1 (en) 2019-08-01
KR102649241B1 (ko) 2024-03-18

Similar Documents

Publication Publication Date Title
JP7299898B2 (ja) 高圧アニールを用いたシーム修復
US11114333B2 (en) Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
JP2020136677A (ja) 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TWI579961B (zh) 實現無縫鈷間隙塡充之方法
CN111684566A (zh) 用于氮化硅薄膜的处理方法
JP7118512B2 (ja) 反応性アニールを使用する間隙充填
KR100956705B1 (ko) 플라즈마 산화 처리 방법 및 반도체 장치의 제조 방법
JP2019110320A (ja) シームレスのコバルト間隙充填を可能にする方法
JP2017069313A (ja) 半導体装置の製造方法、基板処理装置、ガス供給システムおよびプログラム
TW202231900A (zh) 用於在基板上形成鈷層的方法
JP2019534573A (ja) 自己整合パターニングのための方法
JP2022551922A (ja) 間隙充填堆積プロセス
WO2015023404A1 (en) Tungsten deposition with tungsten hexafluoride (wf6) etchback
KR20140109834A (ko) 금속 시드 층 상의 금속 산화물을 환원시키는 방법 및 장치
CN110476222B (zh) 用于硅间隙填充的两步工艺
JP2009533846A (ja) 膜緻密化及び改善されたギャップ充填のための薄膜の多段階アニール
JP2019062142A (ja) 選択成膜方法および半導体装置の製造方法
JP3578155B2 (ja) 被処理体の酸化方法
TWI312572B (en) Method of processing semiconductor substrate
JP6723128B2 (ja) ニッケル配線の製造方法
US20200251340A1 (en) Methods and apparatus for filling a feature disposed in a substrate
JP6584326B2 (ja) Cu配線の製造方法
WO2022080153A1 (ja) 基板処理方法および基板処理装置
JP2022525460A (ja) 熱酸化品質が高い厚い酸化膜を低温で成長させる方法
JP2008274343A (ja) Ti膜の成膜方法および記憶媒体

Legal Events

Date Code Title Description
A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20211210

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20230118

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20230131

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20230501

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20230516

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20230614

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20230616

R150 Certificate of patent or registration of utility model

Ref document number: 7299898

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150