TWI579961B - 實現無縫鈷間隙塡充之方法 - Google Patents

實現無縫鈷間隙塡充之方法 Download PDF

Info

Publication number
TWI579961B
TWI579961B TW102110313A TW102110313A TWI579961B TW I579961 B TWI579961 B TW I579961B TW 102110313 A TW102110313 A TW 102110313A TW 102110313 A TW102110313 A TW 102110313A TW I579961 B TWI579961 B TW I579961B
Authority
TW
Taiwan
Prior art keywords
cobalt
layer
metal layer
contact metal
substrate
Prior art date
Application number
TW102110313A
Other languages
English (en)
Other versions
TW201409613A (zh
Inventor
羅佩布山N
傑拉多斯艾夫傑尼諾斯V
鄭寶
雷雨
傅新宇
甘地可塔史林尼維斯
柳尙澔
亞伯拉罕馬修
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201409613A publication Critical patent/TW201409613A/zh
Application granted granted Critical
Publication of TWI579961B publication Critical patent/TWI579961B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Description

實現無縫鈷間隙填充之方法
本發明實施例大體上有關半導體製造製程的領域,更明確言之,是有關用於在半導體元件之接觸結構中沉積接觸金屬層的方法。
積體電路可包含一百萬個以上的微電子場效電晶體(例如,互補金屬氧化物半導體(CMOS)場效電晶體),該等電晶體形成在基板(例如,半導體晶圓)上且共同合作以執行電路內的各種不同功能。可靠地製造次半微米(sub-half micron)和更小特徵是製造下一世代的半導體元件極大型積體電路(VLSI)和超大型積體電路(ULSI)的關鍵技術之一。然而,當積體電路技術推向極致時,欲不斷縮小VLSI和ULSI技術中的內連線尺寸對於製程處理能力上有著額外的要求。可靠地形成閘極圖案對於積體電路成功率並持續致力於提高電路密度及各別基板和晶粒(die)的品質而言相當重要。
當特徵尺寸越來越小,對於深寬比(定義為該特徵之深度與寬度之間的比例)的要求也穩定提高至20:1,甚至更高。當在小的幾何形狀(例如,具有約20:1之深寬比或更小幾何形狀)之接觸結構中沉積接觸金屬層時可能發生各式各樣 的問題。例如,當介層窗具有小於50奈米的臨界尺寸或具有大於10:1的深寬比時,使用習知物理氣相沉積(PVD)製程所沉積的接觸金屬層經常遭遇階梯覆蓋不良、懸突(overhang)及在介層窗(via)或溝槽內形成孔隙的情況。介層窗或溝槽的底部和側壁上沉積不足亦可能造成沉積不連續,從而導致元件短路或內連線(interconnection)形成不良。此外,接觸金屬層對於下方含矽層可能具有不佳的附著性,導致該接觸金屬層及後續的導電金屬層從基板上剝落。
隨著電晶體密度提高及隨之而來金屬接觸截面積的減少,要使用現行的低電阻率鎢(W)集成方案以滿足接觸電阻的要求將極具挑戰性。鎢接觸集成方案中必需使用高電阻率黏著層(例如,B2H6成核作用)和阻障層(例如,TiN)導致接觸電阻升高,使得鎢接觸集成方案對於小於22奈米的節點技術而言是缺乏吸引力的選項。
因此,需要一種用於在高深寬比特徵內形成接觸金屬層的改進方法。
本發明實施例大體上是關於半導體製造製程的領域,更明確言之,是有關用於在半導體元件之接觸結構中沉積接觸金屬層的方法。在某些實施例中,提供一種沉積接觸金屬層以用於形成半導體元件中之接觸結構的方法。該方法包括進行循環金屬沉積製程以在基板上沉積接觸金屬層,並使配置在該基板上的接觸金屬層進行退火。該循環金屬沉積製程包括使該基板暴露於沉積前驅物氣體混合物以在該基板 上沉積該接觸金屬層之一部分,使該接觸金屬層之該部分暴露於電漿處理製程,以及重複進行使該基板暴露至沉積前驅物氣體混合物的步驟及使該接觸金屬層之該部分暴露於電漿處理製程的步驟,直到達成該接觸金屬層的預定厚度。
在某些實施例中,提供一種沉積接觸金屬層以用於形成半導體元件中之接觸結構的方法。該方法包括進行阻障層沉積製程以在基板上沉積阻障層,進行潤濕層沉積以在該基板上沉積潤濕層,以及進行循環金屬沉積製程以在該基板上沉積接觸金屬層。該循環金屬沉積製程包括使該基板暴露於沉積前驅物氣體混合物以在該基板上沉積該接觸金屬層之一部分,以及重複進行使該基板暴露至沉積前驅物氣體混合物的步驟和使該接觸金屬層之該部分暴露於電漿處理製程的步驟,直到達成該接觸金屬層的預定厚度。該方法進一步包括使配置在該基板上的接觸金屬層進行退火。
在某些實施例中,提供一種沉積接觸金屬層以用於形成半導體元件中之接觸結構的方法。該方法包括進行阻障層沉積製程以在基板上沉積阻障層,進行潤濕層沉積製程以在該基板上沉積潤濕層,以及在該潤濕層上進行退火製程。該方法進一步包括進行金屬沉積製程以在該基板上沉積接觸金屬層,該步驟係藉著使該接觸金屬層暴露於沉積前驅物氣體混合物下而在該基板上沉積該接觸金屬層的一部分。最後,該方法包括使該接觸金屬層的該部分暴露於電漿處理製程並使配置在該基板上的接觸金屬層進行退火。
80‧‧‧腔室
90‧‧‧基板
100‧‧‧蓋組件
107‧‧‧下表面
109‧‧‧孔/洞
110‧‧‧侷限環
116‧‧‧升舉環
117‧‧‧升舉管
118‧‧‧升舉銷
119‧‧‧裝載鎖定埠
120‧‧‧腔室主體
121‧‧‧下腔室屏蔽件
123‧‧‧側方淨化氣源
125‧‧‧底部淨化氣源
126‧‧‧處理區域
130‧‧‧下空腔
132‧‧‧氣體埠
134‧‧‧水冷卻蓋板
138‧‧‧上空腔
140‧‧‧阻隔板
141‧‧‧遠端電漿源
150‧‧‧處理腔室
151‧‧‧邊緣部分
152‧‧‧加熱器基座
154‧‧‧基座桿
156‧‧‧噴淋頭
158‧‧‧緣部
160‧‧‧氣箱板
162‧‧‧盤繞式液體通道
166‧‧‧蓋緣部
170‧‧‧真空幫浦
171‧‧‧底緣部
172‧‧‧幫浦氣室
174‧‧‧環形泵送通道
175‧‧‧蓋隔離件
177‧‧‧環狀凸起部
179‧‧‧腔室內襯
180‧‧‧控制單元
181‧‧‧扼流孔
182‧‧‧中央處理器
183‧‧‧相關控制軟體
184‧‧‧支援電路
186‧‧‧記憶體
188‧‧‧信號匯流排
200‧‧‧系統
202‧‧‧裝載鎖定腔室
204‧‧‧裝載鎖定腔室
210‧‧‧第一機械手
212、214、216‧‧‧處理腔室
222、224‧‧‧傳送腔室
230‧‧‧第二機械手
232、234、236、238‧‧‧處理腔室
300‧‧‧製程程序
310、320、330、340、350、360‧‧‧方塊
402‧‧‧基板
404‧‧‧層
406‧‧‧開孔
408‧‧‧裝置結構
410‧‧‧已處理的表面區域
411‧‧‧基板表面
412‧‧‧側壁
414‧‧‧底部
416、418‧‧‧阻障層
420‧‧‧接觸金屬層
510、520、530、540、550‧‧‧方塊
600‧‧‧處理程序
610、620、630、635、640、650、660‧‧‧方塊
718‧‧‧潤濕層
800‧‧‧處理程序
810、820、830、835、840、850、860、870‧‧‧方塊
為能詳細瞭解本發明以上所載特徵,可參閱多個實施例閱讀以上扼要整理之本發明的更具體說明內容,且部分實施例圖示於附圖中。然而應注意,該等附圖僅示出本發明的代表性實施例,故而不應視為本發明範圍之限制,本發明容許做出其他等效實施例。
第1圖圖示適合用於執行文中所述實施例之金屬沉積處理腔室的一個實施例的剖面圖;第2圖為示例性之多腔室處理系統的概要俯視圖,該系統併入第1圖的金屬沉積處理腔室;第3圖係根據本文所述的某些實施例,圖示形成半導體元件中之接觸金屬層的流程圖;第4A~4E圖係根據本發明之一個實施例,圖示在形成接觸金屬層之製造製程期間的半導體元件剖面圖;及第5圖係根據本文所述的某些實施例,圖示用於形成半導體元件中之接觸金屬層的循環沉積製程流程圖;第6圖係根據本文所述的某些實施例,圖示形成半導體元件中之接觸金屬層的流程圖;第7A~7E圖係根據本文所述之某些實施例,圖示在形成接觸金屬層之製造製程期間的半導體元件剖面圖;第8圖係根據本文所述的某些實施例,圖示形成半導體元件中之接觸金屬層的流程圖。
為幫助理解,盡可能地使用相同元件符號代表該等圖式中共有的相同元件。無需進一步詳述,便能思及可將一個實施例的元件和特徵有利地併入其他實施例中。然而應注 意,該等附圖僅圖示本發明的示範性實施例,故而不應將該等附圖視為本發明範圍之限制,本發明容許做出其他等效實施例。
本發明之實施例提供使用金屬化學氣相沉積製程(例如,鈷化學氣相沉積製程)進行間隙填充以達成接觸填充(contact fill)的潛在低電阻(Rc)單材料解決方案。根據文中所述實施例所沉積的化學氣相沉積(CVD)薄膜具有保角性(conformal)的階梯覆蓋和低的表面粗糙度。此外,文中所示實施例展示出用於填充半導體元件之接觸孔而不會形成縫隙的製程。
在一個實施例中提供一種在基板上沉積接觸金屬層的方法,該方法包括使該基板暴露於鈷前驅物氣體和氫氣中,藉以在一特徵內選擇性地形成無隙縫間隙填充鈷層的一部分,以及在後續處理製程中使該鈷層暴露於電漿和試劑下,例如暴露於氮氣、氨、氫氣、氨/氮氣混合物或上述試劑之組合物下。
如以下進一步詳細描述般,在基板上沉積接觸金屬層以在該基板上形成接觸金屬結構。當「基板(substrate)」一詞用於本文中意指一材料層,該材料層作為進行後續處理作業的基礎且包含表面,該表面將設置成用以在上方形成接觸金屬層。該基板可為下述材料,例如結晶矽(例如,Si<100>或Si<111>)、氧化矽、應變矽、矽鍺、經摻雜或未經摻雜的多晶矽、經摻雜或未經摻雜的矽晶圓、經圖案化或未經圖案 化的絕緣層上覆矽(SOI)晶圓、摻雜碳的氧化矽、氮化矽、經摻雜的矽、鍺、砷化鎵、玻璃或藍寶石(sapphire)。該基板亦可包含一或多種非導電性材料,例如矽、氧化矽、經摻雜的矽、鍺、砷化鎵、玻璃及藍寶石。該基板亦可包含介電材料,例如二氧化矽、有機矽酸鹽及摻雜碳的氧化矽。此外,視應用用途而定,該基板可包含任何其他材料,例如金屬氮化物及金屬合金。
在一或多個實施例中,該基板可形成閘極結構,該閘極結構包含閘極介電層和閘極電極層,以便於與後續形成在閘極結構上方的內連線特徵(例如,插銷(plug)、介層窗、接觸、線路及電線)連接。該基板可具有各種尺寸,例如直徑為200毫米、300毫米或450毫米的晶圓或其他尺寸,且可為矩形或方形的平板。除非另有註明,否則文中所述實施例和實例可在具有200毫米直徑、300毫米直徑或450毫米直徑、尤其是300毫米直徑的基板上進行。
當「接觸結構(contact structure)」一詞用於本文中意指包含接觸金屬層的材料層,該接觸金屬層可形成部分的閘極電極。在一或多個實施例中,接觸金屬層可為鎳層、鈷層、鈦層或上述膜層的任意組合。
再者,該基板不受限於任何特定的尺寸或形狀。該基板可為具有200毫米直徑、300毫米直徑或其他直徑(例如,450毫米,等等)的圓形晶圓。該基板亦可為任意多角形、方形、矩形、弧形或其他非圓形之工件,例如用於製造平板顯示器的多角形玻璃基板。
文中所述實施例提供在基板上沉積/形成接觸金屬層以形成接觸結構的方法。該沉積製程可有效地增進沉積薄膜在整個基板上的階梯覆蓋、保角性(conformality)及連續性和均勻一致性,從而改進基板上所形成的整體薄膜性質。
第1圖圖示處理腔室150,該處理腔室150可如文中所述般地藉由氣相沉積製程形成接觸金屬材料。該等接觸金屬材料可包括金屬鈷、金屬鎳、鈷或鎳的衍生物或鈷和鎳的組合。處理腔室150可用於進行化學氣相沉積(CVD)、電漿增強化學氣相沉積(PE-CVD)、脈衝式-CVD、原子層沉積(ALD)、電漿增強原子層沉積(PE-ALD)、上述沉積沉積法之衍生方法或上述沉積法之組合。水通道(例如,盤繞式液體通道162)可用於在進行沉積含鈷材料的氣相沉積製程期間調節蓋組件100的溫度。在一個實施例中,可使蓋組件100加熱至或維持在介於約100℃至約300℃、較佳介於約125℃至約225℃且更佳介於約150℃至約200℃之範圍內的溫度。在含鈷材料及/或含鎳材料的氣相沉積製程期間內維持該溫度。
噴淋頭156具有相對短且向上延伸的緣部(rim)158,並該緣部158與氣箱板160鎖在一起。噴淋頭156與氣箱板160兩者可由金屬材料形成或含有金屬材料,例如鋁、不鏽鋼或上述金屬之合金。盤繞式液體通道162形成於氣箱板160的頂部內,並使用水冷卻蓋板134蓋住並密封該盤繞式液體通道162。通常使水流經盤繞式液體通道162。然而,醇類、甘油醚類和其他有機溶劑亦可單獨使用或與水混 合使用,藉以將熱導離或傳遞至蓋組件100。盤繞式液體通道162形成蜿蜒曲折狀,但該液體通道162通常形成具有轉彎(例如具有三個急劇的U形迴轉或U形轉彎)的圓形路徑,且該路徑於徑向通道上從內側向外側前進然後再回到該內側處(圖中未示出)。盤繞式液體通道162夠窄,以確保水流能形成洶湧擾動,從而幫助熱從氣箱板160的凸緣傳至盤繞式液體通道162內的水。液體溫度調節系統(圖中未示出)可附接至盤繞式液體通道162,且該液體溫度調節系統是用於將熱導離或傳遞至蓋組件100。在一個實施例中,蓋組件100係建構成可加熱至或維持在約150℃的溫度並且與鈷前驅物(例如,丁基乙炔六羰基二鈷「CCTBA」)來源及氫前驅物(例如H2)來源流體連通。
噴淋頭156的延伸緣部158附接至氣箱板160的底緣部171。介於蓋隔離件175與噴淋頭156所包含的下空腔130之間是緣部158和緣部171兩者的最大尺寸。該噴淋頭156與氣箱板160之間使用螺絲固鎖,以確保在該最大尺寸的接觸面積上能有良好的熱接觸作用。熱傳面積(thermal flow area)從蓋隔離件175的外側(除了蓋隔離件175與噴淋頭156或氣箱板160任一者之間的縫隙之外)延伸至下空腔130的內側。盤繞式液體通道162的結構為水與氣箱板160之間提供有效率的熱傳作用。氣箱板160之凸緣與噴淋頭156之間的機械性接合可確保氣箱板160與噴淋頭156之間進行有效率的熱傳作用。故而大幅提升噴淋頭156的冷卻作用。
處理腔室150進一步包含加熱器基座152,該加熱 基座152連接基座桿154,該基座桿154可在處理腔室150內垂直移動。加熱器基座152的加熱部分可由陶瓷材料形成。在加熱器基座152的上方沉積位置中,加熱器基座152使基板402靠近且面對該噴淋頭156的下表面107。加熱器基座152與該噴淋頭156之下表面107之間界定為處理區域126。噴淋頭156具有複數個孔或洞109,該複數個孔或洞109連通該下空腔130與處理區域126以容許處理氣體通過。水冷卻的氣箱板160是由鋁製成,且在該氣箱板160的中央處形成氣體埠132,並經由氣體埠132供應該處理氣體。利用水冷卻蓋板134蓋住氣箱板160的上側,且該水冷卻蓋板134環繞著該氣箱板160包含氣體埠132的上方部位。氣體埠132供應處理氣體至上空腔138,且藉由阻隔板140隔開上空腔138與下空腔130。阻隔板140具有許多個配置成貫穿該阻隔板的孔109。在一個實施例中,空腔130和空腔138、噴淋頭156及阻隔板140使處理氣體均勻地分佈在基板402的上表面上。
基板402可被支撐在加熱器基座152上,圖中繪示該基板402位於升高的沉積位置中。當處於下降的裝載位置時,升舉環116附接於升舉管117,並且該升舉管117可舉起四個升舉銷118。該等升舉銷118適合滑入該加熱器基座152中,使得該等升舉銷118能把經由裝載鎖定埠119而載入該腔室中的基板402接收至腔室主體120中。在一個實施例中,例如在電漿增強氣相沉積製程期間,加熱器基座152可包含選用性的侷限環110。
側方淨化氣源123耦接至處理腔室150,且該側方 淨化氣源123係構築成當有需要時可供應淨化氣體至基板402的邊緣部分151。在一個實施例中,可從側方淨化氣源123供應該等氣體至基板402的邊緣部分151。該等氣體可為氫氣、氬氣、氮氣、氦氣、上述氣體之組合或諸如此類氣體。此外,底部淨化氣源125亦可耦接至該腔室150,藉以從該腔室150的底部供應淨化氣體至基板402的表面。同樣地,由底部淨化氣源125所供應的淨化氣體可包括氫氣、氬氣、氮氣、氦氣、上述氣體之組合或諸如此類氣體。
蓋隔離件175插入噴淋頭156與蓋緣部166之間,且蓋隔離件175可卸除,使該腔室主體120打開處理腔室150以供維修之用。藉著使真空幫浦170連接至處理腔室150內的幫浦氣室172,且該幫浦氣室172連接至環形幫浦泵送通道174而維持該處理腔室150內的真空環境。
該處理腔室150中設置由石英製成的環形腔室內襯179,該環形腔室內襯179界定該環形泵送通道174的一側,但該環形腔室內襯179亦部分界定出設置在該處理區域126與環形泵送通道174之間的另一扼流孔181。環形腔室內襯179亦支撐該侷限環110以使該侷限環110位在該加熱器基座152的下降位置。腔室內襯179亦在加熱器基座152的背部處環繞一圈。腔室內襯179擱置在腔室主體120的窄凸出部(narrow ledge)上但其他的接觸極少,如此可使熱傳作用降至最低。由不透明石英製成的Z形下腔室屏蔽件121位在該腔室內襯179下方。在下腔室屏蔽件121的底部上形成環狀凸起部177,且該下腔室屏蔽件121憑藉環狀凸起部177而擱置 在該腔室主體120的底部上。該石英防止加熱器基座152的底部與腔室主體120之間發生輻射耦合(radiative coupling)。環狀凸起部177使腔室主體120的熱傳作用減至最小。在一替代實施例中,下腔室屏蔽件121包含向內延伸的下唇部,該向內延伸的下唇部連接至圓錐形上部分,且該圓錐形上部分與腔室主體120之內壁保持一致。雖然此種替代設計也能令人滿意地運作,但將石英製成傾斜造形的造價要昂貴許多。
在一個實施例中,遠端電漿源141可經由氣體埠132耦接至處理腔室150,藉以從遠端電漿源141供應反應性電漿並使電漿通過噴淋頭156中的複數個孔109進入處理腔室150中而到達基板402的表面。應注意該遠端電漿源141可於任何適當位置處耦接至處理腔室150以於需要的時候供應反應性遠端電漿源至基板402。欲供應至遠端電漿源141以進行解離並進一步輸送到基板402表面的適當氣體可包括氫氣、氬氣、氦氣、氮氣、氨氣、上述氣體之組合及諸如此類氣體。
在第1圖中,控制單元180可耦接至腔室150以控制處理條件。控制單元180包含中央處理器(CPU)182、支援電路184及包含相關控制軟體183的記憶體186。控制單元180可為任一種形式之能用於工業設置以控制各種腔室的通用電腦處理器和子處理器。中央處理器(CPU)182可使用任何適當之內建(local)或遠端的記憶體186,例如隨機存取記憶體、唯讀記憶體、軟碟、壓縮光碟驅動器(compact disc drive)、硬碟或任何其他形式的數位儲存器。各種支援電路可耦接至中央處理器182以支援該腔室150。控制單元180可耦接至其 他控制器,該其他控制器可位在個別腔室構件鄰近處。可經由多個信號纜線(signal cable)處理該控制單元180與腔室150之各種其它構件之間的雙向通訊,該等信號纜線統稱信號匯流排(signal bus),第1圖中圖示部分的信號匯流排。
第2圖是示例性多腔室處理系統200的概要俯視圖,該多腔室處理系統200可經調適以進行文中所揭示的金屬層沉積製程,該系統200整合有處理腔室80,例如參閱第1圖所描述的腔室150。該系統200可包含一或多個裝載鎖定腔室202和204以用於傳送基板90進出該系統200。通常,該系統200保持處於真空狀態,且可將該等裝載鎖定腔室202和204「抽空」以引導基板90進入系統200中。第一機械手210可在裝載鎖定腔室202和204與第一組的一或多個基板處理腔室212、214、216和80之間傳送基板90。每個處理腔室212、214、216和80係構築用於進行至少一種基板沉積製程,例如循環式膜層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、除氣、預清潔、定向(orientation)、退火及其它基板處理製程。此外,該等處理腔室212、214、216和80的其中一腔室亦可構築成在基板90上進行沉積製程或熱退火製程之前,先進行預清潔製程。用於進行熱退火製程之處理腔室80與其他腔室212、214、216間的相對位置為圖示解說之用,且該處理腔室80的位置可視情況需要而與該等處理腔室212、214、216中的任一者對調。
第一機械手210亦可傳送基板90進出一或多個傳送腔室222和224。該等傳送腔室222和224可用於維持超高真 空條件,同時允許在系統200內部傳送基板90。第二機械手230可在傳送腔室222和224與第二組的一或多個處理腔室232、234、236和238之間傳送基板90。類似於處理腔室212、214、216和80,處理腔室232、234、236和238可配置成除了可進行例如循環式膜層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、預清潔、除氣及定向製程之外,還可用於進行各種基板處理作業,包括如文中所述之乾蝕刻製程。若非必需使用該系統200進行特定製程,可從該系統200移除處理腔室212、214、216、232、234、236和238中的任一腔室。於預清潔之後,在處理腔室80內進行沉積及/或熱退火製程,且如有需要,可將該基板進一步傳送至該系統200之處理腔室212、214、216、232、234、236和238中的任一腔室以進行其他製程。
第3圖圖示製程程序300之一個實施例的流程圖,該製程程序300係用於在基板上沉積半導體元件結構中之接觸金屬層。第3圖中所述之程序對應於第4A~4E圖中所示之製造階段,以下討論第4A~4E圖。第4A~4E圖圖示利用製程程序300在元件結構408上製造接觸金屬層420的不同階段期間,具有元件結構408之基板402的概要剖面圖。第3圖之程序通常可供CVD、ALD或PVD沉積鈷接觸金屬層參考之用。
可行的集成方案包括,但不限於:(a)PVD鈦+ALD氮化鈦(TiN);(b)PVD鈦+CVD鈷;(c)CVD鈷;及(d)CVD鈷+PVD鈷。PVD鈦可在源極或汲極處提供與下層矽化物良好 的電性接觸。ALD氮化鈦(TiN)增進鈷膜的黏著力,且如有需要可幫助鈷膜再流動(re-flow)。CVD鈷:可使用CVD薄膜或於CVD之後利用再流動(re-flow)進行鈷填充。
製程程序300始於方塊310,方塊310提供基板(如第4A圖中所示之基板402)進入該處理腔室內,例如第1圖圖中所示將基板402放置於該處理腔室150中,或是提供基板至其他適當的處理腔室。第4A圖中所示的基板402包含形成在基板402上的半導體元件結構408,例如構築用於形成接觸結構的閘極結構或其他結構。應注意此特定元件結構408可用於具有高深寬比或其他奇特幾何形狀的三維(3-D)快閃記憶體應用、DRAM應用或其他適當應用中。
基板402上形成有含矽層404,且在該含矽層404內形成多個具有高深寬比(例如深寬比大於約10:1,例如約大於20:1)的開孔406。該等開孔406可為接觸開孔、接觸介層窗、接觸溝槽、接觸通道或諸如此類者,且該等開孔406形成在元件結構408中並具有側壁412和底部414,側壁412和底部414形成開孔溝槽以暴露出下方的含矽層404。含矽層404可包含任何適當的膜層,例如單一矽層或內部形成有至少一層含矽層的多層式薄膜堆疊。在含矽層404為單層形式的實施例中,含矽層404可為氧化矽層、氧化物層、氮化矽層、氮化物層、氮氧化矽層、氮化鈦層、多晶矽層、微晶矽層、單晶矽層、經摻雜的多晶矽層、經摻雜的微晶矽層或經摻雜的單晶矽層。
在另一實例中,含矽層404可為薄膜堆疊,該薄膜 堆疊包含複合的氧化物和氮化物層、夾住氮化物層的至少一或多個氧化物層以及上述膜層之組合。適合摻雜在含矽層404中的摻雜劑可包括p-型摻雜劑及n-型摻雜劑,例如含硼(B)摻雜劑或含磷(P)摻雜劑。在該含矽層404為具有至少一含矽層的多層膜堆疊形式的實施例中,含矽層404可包含重複數對的含矽層和介電層。在一個實施例中,含矽層404中可包括多晶矽層及/或其它金屬材料層及/或介電層。介電層的適當實例可選自下述群組:氧化物層、氧化矽層、氮化矽層、氮化物層、氮化鈦層、氧化物層和氮化物層的複合物、夾有氮化物層的至少一或多個氧化物層以及上述膜層之組合,等等。
在如方塊310所述般傳送基板進入金屬沉積處理腔室內之前,可視需要進行預清潔製程以處理基板表面411及該等開孔406的側壁412和底部414,藉以去除原生氧化物或其他污染源。去除基板402上的原生氧化物或其他污染源可提供低接觸電阻表面,以形成可供形成接觸金屬層的良好接觸表面。
所進行的預清潔製程包括供應預清潔氣體混合物進入預清潔腔室中。預清潔腔室可為Preclean PCII腔室、PCXT腔室或SiconiTM腔室,此等腔室可購自位於美國加州聖塔克拉拉市的應用材料公司。如有需要,預清潔腔室可被併入例示的多腔室處理系統200中且構築成該系統200之處理腔室212、214、216、232、234、236、238之其中一者。應注意,購自其他製造商的其他預清潔腔室亦可用於實現文中所述實施例。
該預清潔製程的執行係供應清潔氣體混合物至併入 系統200內的預清潔處理腔室中,且由該預清潔氣體混合物形成電漿以去除原生氧化物。在一個實施例中,用於去除原生氧化物的預清潔氣體混合物是氨氣(NH3)與三氟化氮(NF3)氣體的混合物。引入該處理腔室內之各種氣體的量可加以改變和調整以配合所欲去除之原生氧化物層的厚度、所清潔之基板的幾何形狀、電漿的容量(volume capacity)、腔室主體的容量以及與腔室主體耦接之真空系統的工作效能。
在一或多個實施例中,所添加的氣體可提供氨氣(NH3)與三氟化氮(NF3)之莫耳比例為至少1:1的預清潔氣體混合物。在一或多個實施例中,該預清潔氣體混合物的莫耳比例為至少約3:1(氨:三氟化氮)。以氨:三氟化氮約5:1至約30:1的莫耳比例引入該等氣體。在又另一實施例中,該氣體混合物的莫耳比例約5:1(氨:三氟化氮)至約10:1。該預清潔氣體混合物的莫耳比例亦可落在約10:1(氨:三氟化氮)至約20:1之間。
該預清潔氣體混合物中亦可添加淨化氣體或載氣。可使用任何適當的淨化氣體/載氣,例如氬氣、氦氣、氫氣、氮氣或上述氣體之混合物。該總預清潔氣體混合物含有約0.05體積%至約20體積%的氨和三氟化氮。該預清潔氣體混合物的其餘部份可為淨化氣體/載氣。
該預清潔腔室內的操作壓力可改變。該壓力可維持在約1托耳(Torr)至約10托耳之間。可施加射頻(RF)電源以維持清潔氣體混合物的電漿。例如,可施加約15瓦(Watt)至約100瓦的功率以維持該預清潔處理腔室內部的電漿。所施 加之功率的頻率為約350千赫(kHz)。該頻率的範圍可為約50千赫至約350千赫。電漿能量使氨氣和三氟化氮氣體解離成反應性物種,例如氟自由基及/或氫自由基,兩種自由基結合而形成氣態的高反應性氟化銨(NH4F)化合物及/或氟化氫銨(NH4F.HF)。隨後將此等分子從電漿位置處輸送至預清潔的基板表面。可使用淨化氣體/載氣幫助輸送反應性物種至基板處。在一個實施例中,可於預清潔製程之後沉積鈦層。該鈦層的作用係收集介層窗與下層基板之界面處任何殘餘的氧,該鈦層可增進與下層基板的電性接觸。
於金屬沉積處理腔室150中提供基板402的方塊310之後,且在基板402上沉積接觸金屬層之前,可於方塊320進行預處理製程以對該基板表面411進行預處理,從而如第4B圖所示般,在含矽層404中之該等開孔406的表面411、側壁412和底部414上形成經過處理的表面區域410。在某些實施例中,先前於基板402上進行的選用性預清潔製程可能在基板表面411上留下一些Si-F、N-F、H-F及Si-N的微弱或殘餘懸空(dangling)鍵結結構。該等懸空鍵可能在後續接觸金屬沉積製程中阻礙沉積在基板表面上之金屬原子的吸附或黏附作用,此種情況是非期望且不利的。因此,可執行方塊320的預處理製程,藉以有效地改變含矽層404之表面411上的表面鍵結結構,從而提供具有良好附著能力的表面以促進後續接觸金屬沉積製程所提供之金屬原子的黏著力。相信該預處理製程可有效去除Si-F、H-F、N-F及Si-N之鍵結結構或可有效地將該等Si-F、N-F、H-F及Si-N結構轉化成Si-H或Si-Si 結構,Si-H或Si-Si結構可幫助金屬原子黏著於該表面上而形成膜層。
於一個實施例中,在進行接觸金屬沉積製程之前,先供應預處理氣體混合物進入金屬沉積處理腔室150以改變基板402的表面性質。在一個實施例中,該預處理氣體混合物可包含至少一種含氫氣體,例如H2、H2O、H2O2或諸如此類者。亦可供應惰性氣體(例如,Ar、He、Kr及諸如此類氣體)至該預處理氣體混合物中。此外,亦可供應含氮氣體(例如,N2、NH3、N2O、NO2及諸如此類氣體)至該預處理氣體混合物中。在一示範實施例中,所提供用於對基板表面411進行預處理的預處理氣體混合物含有含氫氣體(例如,H2氣體)和惰性氣體(例如,Ar氣體)。在另一示範實施例中,所提供用於對基板表面411進行預處理的預處理氣體混合物含有含氫氣體(例如,H2氣體)、惰性氣體(例如,Ar氣體)和含氮氣體(例如,NH3氣體)。
可從遠端電漿源(例如,從與金屬沉積處理腔室150耦接的遠端電漿源141)供應該預處理氣體混合物,以從遠離該處理腔室150之處供應預處理氣體混合物電漿至基板表面411。或者,可從安裝在處理腔室150中的任何其他適當來源供應預處理氣體混合物至基板表面411。
於方塊320的預處理製程期間,可調節數個製程參數以控制該預處理製程。在一個示範實施例中,調整該金屬沉積處理腔室150中的製程壓力,使該壓力介於約50毫托耳(mTorr)至約5000毫托耳之間,例如介於約500毫托耳至約 1000毫托耳之間,舉例言之,該製程壓力處於約700毫托耳。可施加射頻(RF)電源以維持該預處理氣體混合物中的電漿。例如,可施加約1000瓦(Watt)至約6000瓦的功率以維持該處理腔室150內部的電漿。可使該預處理氣體混合物中所供應的含氫氣體以介於約400sccm至約4000sccm之間的速率流入該處理腔室150,且該預處理氣體混合物中所供應的惰性氣體可以介於約200sccm至約2000sccm之間的速率流動。該預處理氣體混合物中所供應的含氮氣體可以介於約100sccm至約3000sccm之間的速率流動。基板402的溫度保持介於約125℃至約250℃之間。在一個實施例中,取決於操作的溫度、壓力和氣體流動速率,使基板402進行預處理製程約10秒至約2分鐘。例如,基板402可暴露於預處理製程下持續約30秒至約60秒。在示範實施例中,該基板暴露於預處理製程下持續約40秒或更短時間。
視情況,可進行方塊330的阻障層沉積製程以在基板上沉積阻障層416,如第4C圖中所示者。阻障層416通常防止該接觸金屬層擴散至該基板上的接合材料(junction material,通常是矽或矽鍺化合物)。該阻障層通常含有金屬或金屬氮化物材料,例如鈦(Ti)、氮化鈦(TiN)、上述材料之合金或上述材料之組合物。阻障層416亦可包含經電漿氮化(N2或NH3)的鈦及物理氣相沉積(PVD)鈷。若阻障層416包含經氮化的鈦層,則僅頂部數個埃(angstrom)的鈦會轉化成氮化鈦(TiN)化合物。發現經過氧化及未經氧化的鈦(Ti)和氮化鈦(TiN)阻障層兩者皆能提供提高 (improved)的擴散阻力。阻障層416所具有的厚度範圍可能約2Å至約100Å,較窄範圍約3Å至約80Å,更窄約4Å至約50Å,更窄約5Å至約25Å,更窄約5Å至約20Å,更窄約5Å至約15Å以及更窄約5Å至約10Å。通常利用原子層沉積(ALD)製程、電漿增強原子層沉積(PE-ALD)製程、化學氣相沉積(CVD)製程或物理氣相沉積(PVD)製程沉積該阻障層。
阻障層416類似於潤濕層,以下對該層做詳細說明。如上述,阻障層416通常用於防止該接觸金屬層擴散到基板上的接合材料。潤濕層通常可增進該接觸金屬層(在某些實施例中為鈷)的黏著力,該潤濕層可在接觸金屬層上進行退火製程期間內減少在該等特徵中形成不想要的孔隙。
在基板表面上進行方塊320的預處理製程以形成經處理之表面區域410或於方塊330中沉積阻障層416之後,於方塊340中,可在處理腔室150內進行CVD接觸金屬沉積製程以沉積接觸金屬層420,如第4D圖所示。可使用如第5圖所述之循環沉積製程沉積該接觸金屬層420。接觸金屬層420填充該等開孔406。接觸金屬層420的適當實例包括鈦(Ti)、鈷(Co)、鎳(Ni)、上述金屬之合金或上述金屬之組合。在文中所述之一個特定實施例中,沉積在基板402上的接觸金屬層420為鈷(Co)層。
可使用多步驟式沉積製程沉積該接觸金屬層420,該多步驟式沉積製程包含進行循環式金屬沉積製程以 沉積該接觸金屬層420且隨後使該接觸金屬層420進行退火的多個循環。在某些實施例中,該接觸金屬層420的厚度應小於所欲填充之最小特徵之特徵直徑(臨界尺寸)的50%。例如,進行該循環金屬沉積製程以部分填充一特徵達到小於該特徵直徑之一半的程度且接著進行退火製程。隨後重複進行該循環沉積製程且接著退火的動作,直到該接觸金屬層420達到預定厚度。在替代實施例中,可於單一個非循環沉積製程中沉積該接觸金屬層420以完全填滿該特徵。在此實施例中,隨後使該接觸金屬層420退火(anneal)。由於該非循環式接觸金屬層420沉積製程及後續的退火製程完成填充所需的時間較少,故可提高產量。
第5圖圖示如方塊340所示之循環沉積製程的流程圖,該循環沉積製程係根據本發明之一個實施例在半導體元件中形成接觸金屬層(例如,接觸金屬層420)。在一個實施例中,該製程包括使基板暴露於沉積氣體以形成接觸金屬層(方塊510)的一部分、視需要淨化該沉積腔室(方塊520)、使該基板暴露於電漿處理製程(方塊530)、視需要淨化該沉積腔室(方塊540)及判斷該鈷接觸金屬層是否已達到預定厚度(方塊550)。在一個實施例中,若所形成的鈷接觸金屬層尚未具有預定厚度,則重複進行方塊510至方塊550的循環。或者,一旦所形成的接觸金屬層已具有預定厚度,可停止該製程。
在該接觸金屬沉積製程期間,可於熱CVD製程、脈衝式CVD製程、PE-CVD製程、脈衝式PE-CVD製程或 熱ALD製程中,藉著使含有鈷前驅物或鎳前驅物的沉積前驅物氣體混合物及使(或不使)還原氣體混合物(試劑)同時、先後或交替地引入該金屬沉積處理腔室150中而形成或沉積該接觸金屬層420,其中該還原氣體混合物(試劑)可例如為氫氣(H2)或氨氣(NH3)。此外,該沉積前驅物氣體混合物可亦包括同時供應至該處理腔室以用於進行處理的淨化氣體混合物。在另一實施例中,於熱ALD製程或脈衝式PE-CVD製程期間,藉著依序將該沉積前驅物氣體混合物(例如,鈷前驅物)的脈衝以及還原氣體混合物(例如氫氣(H2)或氨氣(NH3))的脈衝重複引入該金屬沉積處理腔室150中可形成或沉積該接觸金屬層420。在另一實施例中,於熱ALD製程或脈衝式PE-CVD製程期間,藉著使該還原氣體混合物(例如氫氣(H2)或氨氣(NH3))連續地流入該金屬沉積處理腔室150中,同時重複地將該沉積前驅物氣體混合物(例如,鈷前驅物)的脈衝以及還原氣體混合物的脈衝引入該金屬沉積處理腔室150中,可形成或沉積該接觸金屬層420。在另一實施例中,於PE-CVD製程期間,藉著使該還原氣體混合物(例如氫氣(H2)或氨氣(NH3))及該沉積前驅物氣體混合物(例如,鈷前驅物)在電漿條件下連續地流動可形成或沉積該接觸金屬層420。在另一實施例中,於PE-CVD製程期間,藉著使該還原氣體混合物(例如氫氣(H2)或氨氣(NH3))在電漿條件下連續地流動,且週期性地脈衝引入該沉積前驅物氣體混合物(例如,鈷前驅物)可形成或沉積該接觸金屬層420。
適合利用文中所述CVD或ALD製程形成含鈷材料(例如,金屬鈷或鈷合金)的鈷前驅物包括羰基鈷錯合物(cobalt carbonyl complex)、鈷脒化合物(cobalt aminidate compound)、鈷烯化合物(cobaltocene compound)、二烯基鈷錯合物(cobalt dienyl complex)、亞硝醯鈷錯合物(cobalt nitrosyl complex)、上述物質之衍生物、上述物質之錯合物、由上述物質形成之電漿或上述物質之組合。在某些實施例中,可使用CVD及ALD製程沉積鈷材料,且在共同受讓的美國專利第7,264,846號及2003年5月22日所申請之美國專利申請案第10/443,648號(其公開號為US 2005-0220998)中有進一步的描述,且該兩案以引用方式全文併入本案。
合適的鈷前驅物可包括,但不限於,羰基鈷錯合物、雙氮基脒鈷化合物、鈷烯化合物、二烯基鈷錯合物、亞硝醯鈷錯合物、二氮雙烯基鈷錯合物(cobalt diazadienyl complex)、鈷氫錯合物(cobalt hydride complex)、上述物質之衍生物、上述物質之錯合物、由上述物質形成之電漿或上述物質之組合。在一個實施例中,可用於本發明中之鈷前驅物的實例包括丁基乙炔六羰基二鈷(CCTBA,(CO)6Co2(HC≡CtBu))、甲基丁基乙炔六羰基二鈷(CO)6Co2(MeC≡CtBu))、苯基乙炔六羰基二鈷(CO)6Co2(HC≡CPh))、甲基苯基乙炔六羰基二鈷(CO)6Co2(MeC≡CPh))、甲基乙炔六羰基二鈷(CO)6Co2(HC≡CMe))、二甲基乙炔六羰基二鈷 (CO)6Co2(MeC≡CMe))、鈷脒(cobalt aminidate,C20H42CoN)、六氟乙醯丙酮鈷(Co(C5HF6O2)2.xH2O)、乙醯丙酮鈷((CH3COC=COCH3)3Co)、乙醯丙酮鈷(II)((CH3COC=COCH3)2Co)、乙酸鈷((CH3COO)2Co)、上述物質之衍生物、上述物質之錯合物、上述物質所形成之電漿或上述物質之組合物。其他示範性羰基鈷錯合物包括二(羰基)環戊二烯鈷(CpCo(CO)2)、三羰基烯丙基鈷((CO)3Co(CH2CH=CH2))、三羰基亞硝醯鈷(Co(CO)3NO)、上述物質之衍生物、上述物質之錯合物、上述物質所形成之電漿或上述物質之組合物。在一個特定實例中,用於本發明中之鈷前驅物為丁基乙炔六羰基二鈷(CCTBA,CO)6Co2(HC≡CtBu))。應注意,可使用載氣(例如氬氣(Ar))將丁基乙炔六羰基二鈷(CCTBA,(CO)6Co2(HC≡CtBu))前驅物供應至該金屬沉積處理腔室150中。
該替代試劑即為在文中所述沉積製程期間與鈷前驅物併用而用於形成鈷材料的還原劑,該替代試劑的實例可包括氫(例如,H2或氫(H)原子)、氮(例如,N2或氮(N)原子)、氨(NH3)、聯胺(hydrazine,N2H4)、氫與氨的混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、二矽烷(Si2H6)、三矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、磷化氫(phosphine,PH3)、上述試劑之衍生物、上述試劑所形成之電漿或上述試劑之組合物。在一個特定實例中,用於本發 明中的試劑或還原劑為氨(NH3)。
在方塊340的循環沉積製程期間,在沉積前驅物氣體混合物的每一次脈衝與該電漿預處理製程之間,可於每次或選定的沉積前驅物脈衝之間從處理腔室150的側方/邊緣及/或底部供應淨化氣體混合物至基板402的邊緣部分151。可由配置在處理腔室150內的側方淨化氣源123及/或底部淨化氣源125供應該淨化氣體混合物,以將該淨化氣體混合物供應至基板402表面的邊緣/周圍。應注意,文中所述之基板402的邊緣/周圍對於直徑300毫米的基板而言,可能是指介於距離該基板邊緣/斜邊約1毫米(mm)至約5毫米之間的基板402之邊緣區域,或指介於距離該基板中心點/中心線(例如,通過基板中心點的直徑)約145毫米至約149毫米之間的基板402之邊緣區域。亦應瞭解,於方塊530之電漿處理製程期間的氣流亦可用於淨化該處理腔室。
在一個實施例中,該接觸金屬沉積製程中所供應的淨化氣體混合物可包含至少一種含氫氣體和惰性氣體。需注意,如有需要,可於沉積製程期間,隨著該沉積前驅物氣體混合物一起供應該淨化氣體混合物。含氫氣體的適當實例可包括H2、H2O、H2O2或諸如此類者。惰性氣體的適當範例包括Ar、He或Kr。在一個特定實施例中,該金屬沉積製程期間所供應的淨化氣體混合物可包含氫氣(H2)和氬氣(Ar)。
在該沉積製程的一個實施例中,將該沉積前驅物 氣體混合物的脈衝伴隨著還原氣體以及選用性的淨化氣體/載氣混合物供應至該沉積腔室150。當「脈衝(pulse)」一詞用於本文中意指注入該沉積腔室中的材料劑量(dose)。該沉積前驅物體混合物的脈衝持續一段預定時間。在該沉積前驅物氣體混合物的每次脈衝與該電漿處理製程之間,可於該等沉積前驅物氣體混合物的每一次或多次脈衝之間將該淨化氣體混合物的脈衝注入該處理腔室內,藉以去除雜質或未反應/未被基板402表面吸附的殘餘前驅物氣體混合物,例如去除來自鈷前驅物的未反應含氮雜質或其他物質,而可將該等雜質或殘餘的前驅物氣體混合物抽出該沉積腔室。
該沉積前驅物氣體混合物的脈衝時間可取決於多個因素而改變,該等因素係例如薄膜厚度要求、製程腔室體積、產量考量、氣流速率及諸如此類者。在一個實施例中,可有利地選擇該等製程條件,以使該沉積前驅物氣體混合物的脈衝提供足夠量的前驅物,而可該基板402上吸附至少一單層的鈷金屬前驅物。之後,利用該淨化氣體混合物從該處理腔室去除殘餘在腔室中的過量鈷金屬前驅物並抽出該過量鈷金屬前驅物。
在某些實施例中,可在單次脈衝中同時供應該還原氣體混合物和該沉積前驅物氣體混合物,藉以形成該接觸金屬層416。在文中所述之一個實施例中,在經過該沉積前驅物氣體混合物的最初數次脈衝之後,例如介於第一次脈衝至第五次脈衝之間,可使該還原氣體的脈衝與該沉 積前驅物氣體混合物一起流動。
在方塊510的操作中,使該沉積前驅物氣體混合物的第一次脈衝注入該處理腔室150中,藉以在基板上沉積鈷接觸金屬層420的一部分。該沉積前驅物氣體混合物的每一次脈衝進入該處理腔室150可沉積厚度介於約5Å至約100Å之間的鈷層。在該沉積前驅物氣體混合物的脈衝期間,亦可調節數個製程參數。在一個實施例中,該製程壓力控制在介於約7托耳至約30托耳之間。該製程溫度介於約125℃至約250℃之間。對於電漿增強製程而言,該射頻(RF)功率可控制在介於約100瓦至約1200瓦之間。該沉積前驅物氣體混合物中所供應的鈷氣體前驅物可控制在介於約1sccm至約10sccm之間。可用介於約100sccm至約10000sccm(例如介於3000sccm至約5000sccm)之間的流速供應該還原氣體,例如氫氣(H2)。從該基板邊緣/基板底部所供應的氫氣(H2)可控制在介於約200sccm至約1000sccm之間。可用介於約200sccm至約1000sccm之間的流速從該基板邊緣/基板底部供應氬氣。
視情況而定,在方塊510之後,可淨化該處理腔室。在脈衝注入該沉積前驅物氣體混合物之後,隨後可供應淨化氣體混合物進入該處理腔室內以清除該處理腔室中的殘餘物和雜質。在脈衝注入該淨化氣體混合物的期間,可在相對短的時間內(例如約1秒至約5秒間)將該製程壓力抽低至某一低程度,例如低於2托耳,舉例而言可低於0.5托耳,以助於快速地從該處理腔室中抽出殘餘物和雜 質。亦可在脈衝注入該淨化氣體混合物的期間調節數個製程參數。在一個實施例中,該製程壓力控制在介於約0.1托耳至約2托耳之間,例如介於0.1托耳至約1托耳之間,舉例而言可介於約0.1托耳至約0.6托耳之間。該處理溫度介於約125℃至約250℃之間。該射頻(RF)功率可控制在介於約100瓦至約800瓦之間。該淨化氣體混合物中所供應的氫氣(H2)可控制在介於約200sccm至約1000sccm之間。可用介於約200sccm至約1000sccm之間的流速供應氬氣(Ar)。
於方塊510使基板402暴露於沉積氣體之後,或於方塊520淨化該沉積腔室之後,使基板402暴露於電漿處理製程。該電漿處理製程降低表面粗糙度並改善該鈷接觸金屬層420之沉積部分的電阻率。示範性的電漿形成氣體包括氫氣(H2)、氮氣(N2)、氨氣(NH3)及上述氣體之組合。於該電漿處理製程期間,亦調節數個製程參數。在一個實施例中,該製程壓力係控制在介於約7托耳至約30托耳之間。該處理溫度介於約125℃至約250℃之間。該射頻(RF)功率可控制在介於約100瓦至約800瓦之間,例如約400瓦。可用介於約3000sccm至約5000sccm之間(例如約4000sccm)的流速供應該電漿形成氣體,例如氫氣(H2)。由基板邊緣/基板底部所供應的氫氣(H2)可控制在介於約200sccm至約1000sccm之間。可用介於約200sccm至約1000sccm之間的流速從基板邊緣/基板底部供應氬氣(Ar)。
應注意,於沉積期間或於沉積之後進行該電漿處 理有助於降低所沉積薄膜的表面粗糙度且有助於降低所沉積薄膜中的碳雜質。因此,氫(H)自由基的壽命,尤其是位在14奈米電晶體技術節點所預期的狹窄(小於15奈米之臨界尺寸且大於5之深寬比)介層窗和溝槽結構內部中之氫(H)自由基的壽命,是達成無縫且無孔隙之鈷間隙填充的一項重要參數。藉著使用感應耦合電漿源、微波電漿源或電子數電漿源該電漿處理期間使惰性氣體(例如,He、Ne、Ar,等等)流過可提高CVD製程過程中該腔室內部之氫(H)自由基的壽命。該等電漿源可得自應用材料公司或其他供應商。
於方塊530使該基板暴露於電漿處理製程之後,可視需要於方塊540中淨化該沉積腔室。可採類似於方塊520中所描述的淨化製程來進行方塊540的選用性淨化。
於方塊550中,若尚未達到該接觸金屬層420的預定厚度,則可重複進行使基板暴露於該沉積前驅物氣體混合物中且隨後進行電漿處理製程的附加循環,直到該接觸金屬層420達到期望的厚度範圍。若已達到該接觸金屬層的預定厚度,則該製程前進至方塊350,於方塊350中進行熱退火製程。
例如,若該接觸金屬層的總厚度為10奈米,且以2奈米/循環的速度沉積該接觸金屬層的該部份,則將需要進行5個循環(沉積2奈米之後接著進行電漿處理)。
於方塊350中,於熱退火腔室中的基板402上進行熱退火製程以增進該接觸金屬層420的性質。如有需 要,該熱退火腔室可為系統200之該等處理腔室212、214、216、232、234、236、238的其中一個腔室。在一個實施例中,於方塊350所進行的熱退火製程可具有介於約200℃至約1400℃之間的溫度範圍,例如溫度可介於約200℃至約500℃之間。在該熱退火製程期間,供應含有至少一種含氫氣體及/或惰性氣體(例如,氬)的氣體混合物進入該退火腔室。可使用靜態製程(在進行退火製程之前使氣體充滿該腔室)或使用連續流動製程(於退火製程期間使該氣體混合物連續地流經該退火腔室)任一種方式供應該氣體混合物至退火腔室。
在一個實施例中,可利用以介於約100sccm至約2000sccm之間的流速供應含有含氫氣體、惰性氣體及含氮氣體其中至少一者的氣體混合物進入該退火腔室中,控制腔室壓力在約0.5托耳至約15托耳之間(例如,介於約5托耳至約8托耳之間),且使溫度維持在介於約150℃至約500℃的範圍(例如,介於約300℃至約475℃之間),以進行方塊350的熱退火製程,並且進行該熱退火製程(視需要,可旋轉該基板)持續約30秒至約600秒。根據需要,適合用於供應至該熱退火腔室中之氣體混合物的氣體實例可包括氫氣、含氮氣體、惰性氣體(例如,氬氣)或其他氣體。在一個實施例中,供應至該處理腔室中以進行矽化製程的氣體混合物包括以介於約1:10至約1:1(例如約1:3)之流量比例供應的氫氣(H2)。
適合進行方塊350的熱處理腔室實例為雙模式除 氣(DMD)腔室,該腔室可購自應用材料公司。合適之熱處理腔室的其他實例為Vantage® VulcanTM RTP腔室及Vantage® AstraTM DSA腔室。應注意,該退火製程可能不必要與該接觸金屬層420沉積腔室整合。使用RTP退火和DSA退火可為溫度均勻一致性和快速溫度變化提供進一步的控制。應注意,亦可使用購自其他製造商的其他熱退火腔室實現本發明。
完成該熱退火製程之後,在方塊360中,若尚未達成該接觸金屬層420的預定厚度,則可重複進行方塊340的循環金屬沉積以沉積接觸金屬層且隨後進行方塊350在該接觸金屬層上進行退火製程的附加循環,直到該接觸金屬層420達到期望的厚度範圍。若已達到該接觸金屬層的預定厚度,則完成該製程,並可進行附加處理步驟。
因此,根據上述實施例,提供數種用於在接觸結構中沉積接觸金屬層的方法。該等方法包括藉著使所沉積的接觸金屬層進行退火而使用無縫接觸金屬層填充接觸孔。使CVD鈷薄膜進行退火能產生從下到上無縫的間隙填充。在某些實施例中,無需使用潤濕層以進行鈷的再流動(reflow)。該接觸金屬層(例如,CVD鈷層)的厚度可小於特徵直徑(臨界尺寸)的50%。所使用的循環製程係利用薄鈷膜沉積和短時間退火的結合。該短時間退火期間的環境降低了達成無縫鈷填充所需要的退火溫度。利用空白晶圓進行研究顯示經退火處理之後,鈷膜的電阻率降低50%。可改變退火步驟期間內的退火時間、溫度、氛圍(所使用之氣 體種類)、靜態氣壓或氣體流量以降低該接觸金屬層的粗糙度並改善該接觸金屬層的電阻率。短的退火時間(例如,1分鐘)便足以降低鈷的電阻率和粗糙度。退火期間的氣體流動可進一步改善鈷膜的電阻率。可使用氬氣與氫氣或兩者的組合物作為退火氛圍。PVD鈷可用來代替CVD鈷。亦可使用CVD與PVD的結合,其中CVD鈷係作為潤濕層以供PVD鈷再流動之用。
第6圖係根據本發明一個實施例圖示在半導體元件中形成接觸金屬層的流程圖。第6圖中所述的程序對應於第7A~7E圖中所示的製造階段,以下討論第7A~7E圖。第7A~7E圖圖示利用製程程序600在元件結構408上製造接觸金屬層420的不同階段期間,具有元件結構408之基板402的概要剖面圖。第6圖之程序通常可供CVD、ALD或PVD沉積鈷接觸金屬層參考之用。
製程600的某些方面類似於參閱第3圖所述的製程300且為求簡潔將不在此重複敘述。在一個實施例中,方塊610和方塊620類似於如上述第3圖所示的方塊310和方塊320。方塊610和方塊620分別對應於第7A和7B圖所示之製造階段。第7A和7B圖的詳細敘述可參照第4A和4B圖。然而,可視需要在方塊620中於該基板上進行預處理製程。
方塊630係提出進行阻障層沉積以在基板402上沉積阻障層416,如第7C圖中所示者。該阻障層通常含有金屬或金屬氮化物材料,例如鈦(Ti)、氮化鈦(TiN)、上述材料之合金或上述材料之組合物。阻障層416亦可包含經電漿氮 化(N2或NH3)的鈦及PVD鈷。若阻障層416包含經氮化的鈦層,則僅頂部數個埃(angstrom)的鈦會轉化成氮化鈦(TiN)化合物。發現未經氧化的鈦(Ti)和氮化鈦(TiN)阻障層能提供提高(improved)的擴散阻力。阻障層416所具有的厚度範圍可能約2Å至約100Å,較窄範圍約3Å至約80Å,更窄約4Å至約50Å,更窄約5Å至約25Å,更窄約5Å至約20Å,更窄約5Å至約15Å以及更窄約5Å至約10Å。通常利用原子層沉積(ALD)製程、電漿增強原子層沉積(PE-ALD)製程、化學氣相沉積(CVD)製程或物理氣相沉積(PVD)製程沉積該阻障層。
在一個實施例中,進行阻障層沉積包括進行ALD製程,該ALD製程包括提供含鈦(Ti)前驅物,並且可在載氣(例如,惰性氣體)存在的情況下提供該含鈦前驅物至該腔室。在另一實施例中,含鈦前驅物可與含氮前驅物一起供應以形成含有氮化鈦(TiN)的阻障層。可在載氣(例如,惰性氣體)存在的情況下提供該含鈦前驅物和該含氮前驅物。在另一實施例中,可在所沉積的鈦(Ti)層上進形氮化製程以形成氮化鈦(TiN)阻障層。在另一實施例中,係利用PVD鈦製程沉積鈦(Ti)阻障層。
方塊635提出進行潤濕層沉積以在基板402上沉積潤濕層718,如第7D圖所示者。該潤濕層718沉積在阻障層416上。通常利用選自下列之製程沉積該潤濕層:PVD鈷、CVD氮化鈦、PVD氮化鈦、CVD釕、PVD釕、PVD鈦之氮化反應或上述製程之組合。在使用CVD製程沉積該 潤濕層718的實施例中,提供所欲的前驅物氣體至該腔室,且可進一步在載氣存在的情況下提供所欲的前驅物氣體至該腔室。在使用PVD製程沉積該潤濕層718的實施例中,提供含有欲進行沉積之所欲材料的靶材且進行PVD製程以沉積PVD潤濕層。在一個實施例中,該潤濕層包含PVD氮化鈦(TiN)。在此實施例中,係提供鈦(Ti)靶材且使用離子轟擊該靶材以濺射出鈦(Ti)而在阻障層416上沉積該潤濕層718。在電漿存在的情況下使用含氮前驅物(例如,NH3)在該PVD鈦層上進行氮化製程以形成氮化鈦(TiN)潤濕層718。在此實施例中,潤濕層718包含經氮化的鈦層且僅頂部數個埃(angstrom)的鈦轉化成氮化鈦(TiN)化合物。在另一實施例中,該潤濕層為PVD鈷。在此實施例中,提供鈷(Co)靶材且使用離子轟擊該鈷靶材以濺射鈷而在阻障層416上沉積潤濕層718。在使用PVD鈷的實施例中,係以約5000瓦至約6000瓦(例如約5500瓦)的頻率提供射頻(RF)功率。所提供之PVD鈷製程的功率約400瓦至約600瓦,例如約500瓦,且在進行該PVD鈷製程期間,該腔室的壓力約50毫托耳(mT)至約150毫托耳,例如約100毫托耳。
應知,可在與後續CVD鈷沉積製程相同的腔室(處於高真空)中沉積鈦(Ti)或氮化鈦(TiN)潤濕層。在替代實施例中,在退火期間,CVD鈷膜的凝聚作用(agglomeration)涉及使用CVD鈷(具有不同薄膜性質)作為潤濕層。此CVD鈷潤濕層含有>5%的高碳原子%,相較之下,用於間隙填充的CVD鈷膜則含有<1%的碳。在沉積步驟期間使用較低的 H2分壓並省去循環H2電漿處理以獲得高碳含量的CVD鈷膜。
應注意,上述任一種潤濕層718製程可與方塊640中所提供的後續接觸金屬層沉積製程一起進行。潤濕層718與阻障層416通常可增進後續的接觸金屬層沉積作用。發現在基板上之特徵底部處或該特徵的其他位置處可能形成孔隙。並相信當該接觸金屬層退火時,接觸金屬層的聚集作用或累積將會導致形成該等孔隙。由於在基板與接觸金屬層之間的孔隙終將降低該接觸的品質且對整體元件性能造成不良影響,因此通常不希望形成孔隙。再者,該接觸金屬層與下方基板在退火製程期間的相互擴散作用會導致鈷和矽相互擴散。該相互擴散作用會對元件性能造成不良影響且導致不可預期的元件行為。阻障層416獨自或與潤濕層718結合可降低鈷與矽的相互擴散作用。此外,當沉積接觸金屬層以填充元件之介層窗和溝槽時,該潤濕層獨自或與阻障層416結合可藉由降低後續退火製程期間發生凝聚作用(agglomeration)的機率而增進該接觸金屬層的黏著力。
在替代實施例中,CVD鈷膜在退火製程期間的凝聚作用可能使用CVD鈷作為潤濕層。此CVD鈷潤濕層可含有高碳含量(碳原子%>5%),相較之下,用於無縫間隙填充的CVD鈷膜則含有低碳含量(碳原子%<1%)。在沉積步驟期間使用較低的H2分壓並省去循環H2電漿處理以獲得高碳含量的CVD鈷膜。
方塊640提出進行循環金屬沉積以在基板上沉積接觸金屬層。參閱上述第3圖中之方塊340及有關第5圖的對應描述內容中可找到該循環金屬沉積製程的製程參數和說明。方塊650提出在沉積於該基板上的接觸金屬層上進行退火製程。參閱第3圖中之方塊350可獲得進行退火製程的製程參數和說明。
在完成該熱退火製程之後,於方塊660中,若尚未達到該接觸金屬層420的預定厚度,則可重複進行方塊640之循環金屬沉積以沉積接觸金屬層且隨後進行方塊650之在該接觸金屬層上進行退火製程的附加循環,直到該接觸金屬層420達到期望的厚度範圍。若已達到該接觸金屬層的預定厚度,則完成該製程,並可進行附加處理步驟。
如上述,第6圖中所述之製程程序600可供CVD、ALD或PVD接觸金屬沉積製程參考之用。併入(未經氧化)的CVD或ALD氮化鈦(TiN)阻障層418能減少元件特徵之底部處的孔隙。在沉積潤濕層718之後或沉積接觸金屬層420之後,且在進行方塊650的退火製程之前,可進行真空破除(vacuum break)。應注意,可在與沉積該接觸金屬層420不同的腔室內進行方塊650的退火製程。此外,可發現,如方塊640中所提供高頻率的H2電漿處理(以厚度為20Å或更薄之CVD鈷而言的電漿處理,例如參見第5圖所示之相關電漿處理參數)在消除元件特徵之底部處的孔隙形成方面扮演著相當重要的角色。最終發現,可藉著利用上述製程程序600中所 提供的製程變數來控制雜質(即,碳、氧、氮,等等)的原子百分比,可調節CVD或ALD接觸金屬層的再流動特性。可能需要1%或更低的碳雜質含量以達成無縫的接觸金屬層間隙填充,更明確言之,是達成無縫的鈷間隙填充。除了該接觸金屬層沉積的製程變數之外,可利用阻障層418和潤濕層718進一步控制該雜質含量(impurity level)。
第8圖係根據本發明實施例圖示在半導體元件中沉積接觸金屬層的流程圖。第8圖中所示之程序對應於第7A~7E圖中所示之製造階段,以下討論第7A~7E圖。第7A~7E圖圖示利用製程程序800在元件結構408上製造接觸金屬層420的不同階段期間,具有元件結構408之基板402的概要剖面圖。第8圖之程序通常可供PVD沉積鈷接觸金屬層參考之用。
製程程序800始於方塊810,在方塊810中提供一基板。方塊810的詳細描述可參閱第3圖之方塊310和第6圖之方塊610的相關描述內容。方塊820提出可視需要在基板上進行預處理製程。有關方塊820的詳細描述可參閱第3圖之方塊320和第6圖之方塊620的相關描述內容。
方塊830提出進行阻障層沉積以在基板上沉積阻障層。有關該阻障層416的概述說明可參閱第6圖的方塊630。在一個實施例中,係於該基板上配置TiN阻障層,例如如上述之TiN阻障層416。在此實施例中,所提供之TiN層的厚度介於約5Å至約75Å之間,例如約50Å。然而,有證據顯示10Å的TiN層可能便足以作為阻障層。利用CVD沉 積製程或利用NH3或N2使先前沉積的鈦層進行氮化反應而形成TiN阻障層416。用於沉積該TiN阻障層416的製程參數可參閱第6圖的方塊630。
方塊835提出進行潤濕層沉積以在該基板上沉積潤濕層。有關該潤濕層718的概述說明可參閱第6圖的方塊635。在一個實施例中,係利用CVD或ALD製程沉積該潤濕層718。可用於提供潤濕層718的合適製程包括CVD氮化鈦(TiN)、CVD鈷、CVD釕、ALD氮化鉭(TaN)製程及上述製程之組合。在一個實施例中,可利用CVD鈷製程沉積該潤濕層。把於CVD製程期間所沉積鈷送至使用含鈷前驅物的處理腔室中,該含鈷前驅物係例如參閱第3圖所提供之循環金屬沉積製程中所討論的該等含鈷前驅物。在一個實施例中,將該含鈷前驅物供應至進行熱沉積製程的腔室。該熱沉積製程通常包括加熱基板402以促進基板402之表面上的鈷沉積作用。在一個實施例中,該熱沉積製程提供下述步驟:將該基板加熱至約100℃至約200℃,例如約150℃。在此實施例中,於CVD鈷製程期間所沉積的鈷是潤濕層718,且該潤濕層718配置在阻障層416上。
方塊840提出在潤濕層718上進行退火製程。通常進行該退火製程是用於降低潤濕層718的表面粗糙度、提高結晶結構的晶粒尺寸(grain size)及減少可能存在於潤濕層718中的雜質,例如碳。該退火製程是在介於約200℃至約500℃之間的溫度(例如約400℃)下進行。可在腔室內提供有惰性氣體(例如,氬氣)的腔室環境中進行該退火製 程。在一個實施例中,氬氣靜態地停留在該腔室內,並可在進行該潤濕層718的退火製程之後視需要而淨化該腔室。在一個實施例中,進行該退火製程的時間介於約10秒至約1000秒之間,例如介於約30秒至約90秒之間,例如約60秒。在另一實施例中,可在腔室內以靜態或流動方式提供氫氣(H2)的腔室環境中進行該退火製程。在此實施例中,進行該退火製程的時間可介於約10秒至約1000秒之間。
方塊850提出進行金屬沉積製程以在基板上沉積接觸金屬層420。在一個實施例中,係利用PVD鈷製程沉積該接觸金屬層420。該PVD鈷製程可進一步為熱PVD鈷製程。該鈷係使用習知製程濺射而成,且在一個實施例中,可在製程氣體(例如,氬氣或H2)存在的情況下進行該濺射製程。在一個實施例中,可藉著以約5000瓦至約6000瓦(例如約5500瓦)的頻率提供RF功率以進行該PVD鈷製程。可用介於約250瓦至約750瓦之間(例如約500瓦)的功率以直流方式提供該射頻(RF)功率。於PVD鈷製程期間的腔室壓力可保持介於約50毫托耳至約200毫托耳之間(例如約100毫托耳)的壓力下。一旦該鈷濺射至基板上,可藉著提供熱給該基板使鈷再次流動,藉以使所沉積的鈷再流動。在一個實施例中,藉著加熱該基板達到介於約200℃至約500℃之間的溫度可使該PVD鈷再流動。在採用PVD鈷製程的實施例中,若該腔室具有加熱基板達到進行處理 所需之溫度的能力,接觸金屬層420之沉積製程和退火製程兩者可在同一個腔室中進行。
方塊860提出使該接觸金屬層420暴露於電漿處理製程。該電漿處理製程通常包括提供製程氣體(例如,H2)至該腔室,以及施加RF電流以使該製程氣體形成電漿。在一個實施例中,所提供之RF電流的頻率係介於約200瓦至約800瓦之間,例如約為400瓦。該電漿處理製程持續進行約1秒至約60秒,例如進行30秒。在一個實施例中,加熱該基板402至介於約100℃至約200℃之間的溫度(例如約150℃),以進一步降低該接觸金屬層420的表面粗糙度並減少存在於該接觸金屬層420中之雜質的百分比。
方塊870提出在配置於該基板402上的接觸金屬層420上進行退火製程。通常進行該退火製程以降低該接觸金屬層420的表面粗糙度並減少存在於該接觸金屬層420中的雜質(例如,碳)。再者,該退火製程提高結晶的晶粒尺寸,晶粒尺寸提高會導致較低的電阻率,從而提高積體電路性能。該退火製程是在介於約200℃至約500℃之間的溫度(例如約400℃)下進行。進一步在腔室內提供有惰性氣體(例如氬氣)及製程氣體(例如,H2)的腔室環境中進行該退火製程。在一個實施例中,氬氣和H2在該腔室內流動,並可在進行該接觸金屬層420的退火製程之後視需要而淨化該腔室。在一個實施例中,該退火製程進行約30秒至約90秒,例如進行約60秒。
在上述實施例中,若可在能加熱基板的腔室中進 行鈷沉積製程和退火製程,便可進行該PVD鈷製程而無需使用循環金屬沉積製程。在替代實施例中,PVD鈷層可沉積在特徵的底部處並可被蝕刻和再濺射於該特徵的側壁上以在該側壁上提供連續的鈷膜,此方式允許該PVD鈷從該場中再次流動至該特徵的底部。進行該接觸金屬層420之沉積以獲得足以供後續進行該接觸金屬層420之化學機械研磨所需的薄膜厚度。
在另一實施例中,於CVD鈷潤濕層718之後所沉積的接觸金屬層420可包括鎢(W)。此實施例通常與雙鑲嵌式結構聯用,該雙鑲嵌式結構所具有之特徵的下部分(lower part)呈現出小臨界尺寸和具挑戰性之深寬比。相較於該雙鑲嵌式結構的下部分而言,該雙鑲嵌式結構的上部分通常具有較大的臨界尺寸和難度較低的深寬比。在此實施例中,可使用上述的CVD鈷製程填充該下部分(該下部分在接觸金屬層沉積方面帶來額外挑戰)。該CVD鈷製程填充該特徵的下部分。在該CVD鈷沉積製程之後,可進行CVD鎢製程以填充該特徵的其餘部分。該CVD鎢製程沉積材料的速度通常比CVD鈷製程快,故能提高產量。
儘管上述內容舉出多個本發明實施例,然而在不偏離本發明基本範圍下,當可做出本發明的諸多其他和進一步實施例,且本發明範圍係由後附申請專利範圍所界定。
800‧‧‧製程程序
810、820、830、835、840、850、860、870‧‧‧方塊

Claims (13)

  1. 一種沉積一接觸金屬層以用於形成一半導體元件中之一接觸結構的方法,包含:進行一循環金屬沉積製程以在形成於一含矽基板中的多個開孔中沉積一鈷接觸金屬層,包含:使該含矽基板暴露至一包含一含鈷前驅物和一還原氣體之沉積前驅物氣體混合物,藉以在該含矽基板上沉積該鈷接觸金屬層之一部分;使該鈷接觸金屬層之該部分暴露於一電漿處理製程;以及重複使該含矽基板暴露至一包含一含鈷前驅物及一還原氣體之沉積前驅物氣體混合物的步驟及使該鈷接觸金屬層之該部分暴露於一電漿處理製程的步驟,直到達成該鈷接觸金屬層的一預定厚度;以及使配置在該含矽基板上的該鈷接觸金屬層進行退火。
  2. 如請求項1所述之方法,其中該含鈷前驅物是丁基乙炔六羰基二鈷(dicobalt hexacarbonyl butylacetylene,CCTBA),且該還原氣體是氫氣(H2)。
  3. 如請求項1所述之方法,進一步包含:在該進行一循環金屬沉積製程的步驟之前,供應一含有NH3的預處理氣體以對該含矽基板進行預處理。
  4. 如請求項1所述之方法,其中使配置在該含矽基板上之該鈷接觸金屬層進行退火的步驟進一步包含:供應一氣體混合物,該氣體混合物含有下述至少一者:一惰性氣體及氫氣(H2),且同時提供熱能給該鈷接觸金屬層。
  5. 如請求項1所述之方法,進一步包含:重複該進行一循環金屬沉積製程的步驟及該使配置在該含矽基板上之該鈷接觸金屬層進行退火的步驟,直到達成該鈷接觸金屬層的一預定厚度。
  6. 如請求項1所述之方法,其中使該含矽基板暴露至一包含一含鈷前驅物和一還原氣體之沉積前驅物氣體混合物以在該含矽基板上沉積該鈷接觸金屬層之一部分的步驟以及使該鈷接觸金屬層之該部分暴露至一電漿處理製程的步驟係同時執行。
  7. 如請求項1所述之方法,其中使該鈷接觸金屬層之該部分暴露於一電漿處理製程中的步驟包括供應選自下列之一氣體:氫氣(H2)、氮氣(N2)、氨氣(NH3)及上述氣體之組合物,藉以降低該鈷接觸金屬層之該部分的粗糙度。
  8. 如請求項1所述之方法,進一步包含:進行一阻障層沉積製程以在一含矽基板上沉積一阻障 層;進行一潤濕層沉積以在該含矽基板上沉積一潤濕層。
  9. 如請求項8所述之方法,進一步包含:重複該進行一循環金屬沉積製程的步驟及該使配置在該含矽基板上之該鈷接觸金屬層進行退火的步驟,直到達成該鈷接觸金屬層的一預定厚度。
  10. 如請求項8所述之方法,其中該潤濕層係利用選自下列之一製程沉積而得:PVD鈷沉積製程、CVD氮化鈦(TiN)沉積製程、PVD氮化鈦沉積製程、CVD釕(Ru)沉積製程、PVD釕沉積製程、PVD鈦之氮化反應及上述製程之組合,藉以防止該含矽基板與該鈷接觸金屬層之間的相互擴散作用且提高該鈷接觸金屬層對該含矽基板的黏著力。
  11. 一種沉積一接觸金屬層以形成一半導體元件中之一接觸結構的方法,包含:進行一阻障層沉積製程以在一含矽基板上沉積一阻障層;進行一潤濕層沉積製程以在該含矽基板上沉積一潤濕層;在該潤濕層上進行一退火製程;進行一金屬沉積製程以在形成於該含矽基板中的多個開孔中沉積一鈷接觸金屬層,該金屬沉積製程係藉著使該含矽 基板暴露於一沉積前驅物氣體混合物而在該含矽基板上沉積該鈷接觸金屬層的一部分;使該鈷接觸金屬層的該部分暴露於一電漿處理製程中;以及使配置在該含矽基板上的該鈷接觸金屬層進行退火。
  12. 如請求項11所述之方法,其中該進行一潤濕層沉積的步驟包括沉積一未氧化的鈦(Ti)層或氮化鈦(TiN)層、一CVD鈷層或一PVD鈷層。
  13. 如請求項11所述之方法,其中該進行一金屬沉積製程的步驟包括沉積一PVD鈷層、一CVD鈷層或一CVD鎢層。
TW102110313A 2012-03-28 2013-03-22 實現無縫鈷間隙塡充之方法 TWI579961B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201261616842P 2012-03-28 2012-03-28
US13/786,644 US9330939B2 (en) 2012-03-28 2013-03-06 Method of enabling seamless cobalt gap-fill

Publications (2)

Publication Number Publication Date
TW201409613A TW201409613A (zh) 2014-03-01
TWI579961B true TWI579961B (zh) 2017-04-21

Family

ID=49235580

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102110313A TWI579961B (zh) 2012-03-28 2013-03-22 實現無縫鈷間隙塡充之方法

Country Status (7)

Country Link
US (3) US9330939B2 (zh)
EP (2) EP3686920A3 (zh)
JP (3) JP6200486B2 (zh)
KR (3) KR102021131B1 (zh)
CN (2) CN104205302B (zh)
TW (1) TWI579961B (zh)
WO (1) WO2013148490A1 (zh)

Families Citing this family (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR102131581B1 (ko) 2012-03-27 2020-07-08 노벨러스 시스템즈, 인코포레이티드 텅스텐 피처 충진
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2014052316A1 (en) 2012-09-25 2014-04-03 Advanced Technology Materials, Inc. Cobalt precursors for low temperature ald or cvd of cobalt-based thin films
TWI600786B (zh) * 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
JP6488284B2 (ja) 2013-09-27 2019-03-20 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated シームレスのコバルト間隙充填を可能にする方法
US9287170B2 (en) 2013-11-27 2016-03-15 Taiwan Semiconductor Manufacturing Company Limited Contact structure and formation thereof
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
US9362111B2 (en) 2014-02-18 2016-06-07 Applied Materials, Inc. Hermetic CVD-cap with improved step coverage in high aspect ratio structures
KR102383971B1 (ko) * 2014-02-23 2022-04-06 엔테그리스, 아이엔씨. 코발트 전구체
WO2015157004A1 (en) * 2014-04-07 2015-10-15 Entegris, Inc. Cobalt cvd
WO2015195081A1 (en) * 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US11476158B2 (en) 2014-09-14 2022-10-18 Entegris, Inc. Cobalt deposition selectivity on copper and dielectrics
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10014179B2 (en) * 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US11384432B2 (en) * 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
KR102467848B1 (ko) 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
KR20180097179A (ko) * 2016-01-21 2018-08-30 어플라이드 머티어리얼스, 인코포레이티드 실리콘 관통 비아들의 도금의 프로세스 및 케미스트리
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9576901B1 (en) 2016-02-25 2017-02-21 International Business Machines Corporation Contact area structure and method for manufacturing the same
US10438849B2 (en) * 2016-04-25 2019-10-08 Applied Materials, Inc. Microwave anneal to improve CVD metal gap-fill and throughput
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI729457B (zh) * 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9941212B2 (en) * 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9852990B1 (en) * 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9716063B1 (en) * 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US9859215B1 (en) * 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
WO2018098061A1 (en) * 2016-11-23 2018-05-31 Entegris, Inc. Haloalkynyl dicobalt hexacarbonyl precursors for chemical vapor deposition of cobalt
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
KR102654482B1 (ko) 2016-12-06 2024-04-03 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20180068595A (ko) 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
US10128151B2 (en) 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10177030B2 (en) 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
CN106929821B (zh) * 2017-01-17 2019-12-20 复旦大学 一种金属含量可调的金属氮化物薄膜的制备方法及反应器
TWI758398B (zh) * 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
KR101914038B1 (ko) * 2017-02-02 2018-11-01 주식회사 에이치피에스피 3차원 플래시 메모리 소자의 제조방법
JP6586433B2 (ja) * 2017-03-30 2019-10-02 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
US10483102B2 (en) 2017-04-07 2019-11-19 Applied Materials, Inc. Surface modification to improve amorphous silicon gapfill
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
KR102271729B1 (ko) * 2017-04-24 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 고 종횡비 구조들에서의 갭충전을 위한 방법들
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10304732B2 (en) 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111699430B (zh) * 2018-01-29 2022-07-22 应用材料公司 用于光学器件增强的润湿层
US10204828B1 (en) 2018-02-09 2019-02-12 International Business Machines Corporation Enabling low resistance gates and contacts integrated with bilayer dielectrics
SG11202008256WA (en) 2018-03-09 2020-09-29 Applied Materials Inc High pressure annealing process for metal containing materials
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
WO2019222320A1 (en) * 2018-05-16 2019-11-21 Applied Materials, Inc. Atomic layer self aligned substrate processing and integrated toolset
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
SG11202101349SA (en) * 2018-09-26 2021-04-29 Applied Materials Inc Gas distribution assemblies and operation thereof
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US20200144056A1 (en) * 2018-11-03 2020-05-07 Applied Materials, Inc. Method of forming a cobalt layer on a substrate
WO2020118100A1 (en) 2018-12-05 2020-06-11 Lam Research Corporation Void free low stress fill
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11355391B2 (en) * 2019-03-18 2022-06-07 Applied Materials, Inc. Method for forming a metal gapfill
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
KR20200124351A (ko) * 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
CN112563143B (zh) * 2019-09-25 2022-03-22 长鑫存储技术有限公司 半导体结构制造方法
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
KR20220084385A (ko) 2019-10-21 2022-06-21 어플라이드 머티어리얼스, 인코포레이티드 층들을 증착하는 방법
JP7460771B2 (ja) * 2019-12-30 2024-04-02 インテグリス・インコーポレーテッド フッ化マグネシウム領域が形成させる金属体
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
WO2021186562A1 (ja) * 2020-03-17 2021-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP2021167466A (ja) * 2020-03-30 2021-10-21 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated バリア層のないインシトゥタングステン堆積
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack
CN113078102B (zh) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 半导体结构的制备方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces

Family Cites Families (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6482740B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Method of growing electrical conductors by reducing metal oxide film with organic compound containing -OH, -CHO, or -COOH
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6403478B1 (en) * 2000-08-31 2002-06-11 Chartered Semiconductor Manufacturing Company Low pre-heat pressure CVD TiN process
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US9051641B2 (en) * 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
KR100539274B1 (ko) * 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) * 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7432200B2 (en) * 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
KR20090103058A (ko) 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자 및 이의 제조 방법
US8679970B2 (en) * 2008-05-21 2014-03-25 International Business Machines Corporation Structure and process for conductive contact integration
US8519541B2 (en) * 2008-08-14 2013-08-27 Macronix International Co., Ltd. Semiconductor device having plural conductive layers disposed within dielectric layer
JP2010080798A (ja) * 2008-09-29 2010-04-08 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
US20100102417A1 (en) * 2008-10-27 2010-04-29 Applied Materials, Inc. Vapor deposition method for ternary compounds
JP2010212452A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
WO2011027834A1 (ja) * 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US9177917B2 (en) * 2010-08-20 2015-11-03 Micron Technology, Inc. Semiconductor constructions
JP2012089744A (ja) * 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US8546227B2 (en) * 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces

Also Published As

Publication number Publication date
TW201409613A (zh) 2014-03-01
KR20170137960A (ko) 2017-12-13
JP6200486B2 (ja) 2017-09-20
WO2013148490A1 (en) 2013-10-03
KR101808209B1 (ko) 2017-12-12
EP3686920A3 (en) 2021-12-08
US20180068890A1 (en) 2018-03-08
US20160247718A1 (en) 2016-08-25
EP3686920A2 (en) 2020-07-29
CN106887380A (zh) 2017-06-23
US20130260555A1 (en) 2013-10-03
KR20190105141A (ko) 2019-09-11
JP2017212466A (ja) 2017-11-30
JP2019106549A (ja) 2019-06-27
JP6502440B2 (ja) 2019-04-17
EP2831907A4 (en) 2016-07-13
CN104205302B (zh) 2017-04-05
KR102021131B1 (ko) 2019-09-11
CN104205302A (zh) 2014-12-10
US10269633B2 (en) 2019-04-23
US9330939B2 (en) 2016-05-03
KR102139238B1 (ko) 2020-07-29
CN106887380B (zh) 2020-03-17
JP2015519725A (ja) 2015-07-09
WO2013148490A4 (en) 2013-11-21
KR20140143184A (ko) 2014-12-15
JP6962955B2 (ja) 2021-11-05
EP2831907B1 (en) 2020-03-11
US9842769B2 (en) 2017-12-12
EP2831907A1 (en) 2015-02-04

Similar Documents

Publication Publication Date Title
TWI579961B (zh) 實現無縫鈷間隙塡充之方法
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
KR20210146431A (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능