US9997457B2 - Cobalt based interconnects and methods of fabrication thereof - Google Patents

Cobalt based interconnects and methods of fabrication thereof Download PDF

Info

Publication number
US9997457B2
US9997457B2 US14/137,526 US201314137526A US9997457B2 US 9997457 B2 US9997457 B2 US 9997457B2 US 201314137526 A US201314137526 A US 201314137526A US 9997457 B2 US9997457 B2 US 9997457B2
Authority
US
United States
Prior art keywords
layer
fill material
cobalt
opening
adhesion layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US14/137,526
Other versions
US20150179579A1 (en
Inventor
Christopher J. Jezewski
Tejaswi K. Indukuri
Ramanan V. Chebiam
Colin T. Carver
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tahoe Research Ltd
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Priority to US14/137,526 priority Critical patent/US9997457B2/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CARVER, Colin T., INDUKURI, TEJASWI J., CHEBIAM, RAMANAN V., JEZEWSKI, CHRISTOPHER J.
Priority to TW103139777A priority patent/TWI610398B/en
Priority to KR1020167013373A priority patent/KR20170110000A/en
Priority to JP2016526908A priority patent/JP6652245B2/en
Priority to EP21170662.7A priority patent/EP3907755A3/en
Priority to EP15729733.4A priority patent/EP3084810B1/en
Priority to KR1020237012227A priority patent/KR20230054492A/en
Priority to PCT/IB2015/000198 priority patent/WO2015092780A1/en
Priority to CN202210020138.2A priority patent/CN114361132A/en
Priority to KR1020217040015A priority patent/KR102526836B1/en
Priority to CN201580002697.9A priority patent/CN106068549B/en
Publication of US20150179579A1 publication Critical patent/US20150179579A1/en
Assigned to INTEL CORPORATION reassignment INTEL CORPORATION CORRECTIVE ASSIGNMENT TO CORRECT THE SECOND ASSIGNEE NAME PREVIOUSLY RECORDED AT REEL: 032591 FRAME: 0261. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT. Assignors: CARVER, Colin T., INDUKURI, TEJASWI K., CHEBIAM, RAMANAN V., JEZEWSKI, CHRISTOPHER J.
Priority to US15/925,009 priority patent/US10700007B2/en
Publication of US9997457B2 publication Critical patent/US9997457B2/en
Application granted granted Critical
Priority to US16/881,530 priority patent/US11328993B2/en
Priority to US17/718,038 priority patent/US11862563B2/en
Assigned to TAHOE RESEARCH, LTD. reassignment TAHOE RESEARCH, LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: INTEL CORPORATION
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53261Refractory-metal alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • H01L23/53266Additional layers associated with refractory-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53233Copper alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/11Manufacturing methods
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Definitions

  • Embodiments of the present invention relate generally to metal interconnect structures and their methods of fabrication. More particularly, embodiments of the present invention relate to cobalt based interconnect structures and their methods of fabrication.
  • Integrated circuit (IC) devices typically include circuit elements such as transistors, capacitors and resistors formed within or on a semiconductor substrate. Interconnect structures are used to electrically couple or connect the discrete circuit elements into functional circuits. Typical metal interconnects may include a line portion and a via portion.
  • Interconnect structures may be fabricated from copper, and may include a barrier layer such as titanium or tantalum or nitride materials such as tantalum nitride or titanium nitride, or a combination thereof (e.g., tantalum nitride/tantalum (TNT)).
  • a barrier layer such as titanium or tantalum or nitride materials such as tantalum nitride or titanium nitride, or a combination thereof (e.g., tantalum nitride/tantalum (TNT)).
  • TNT tantalum nitride/tantalum
  • Tungsten metallization has been successfully used to fabricate front end contacts and, thus, has been suggested for back end metallization for interconnect fabrication.
  • a desirable advantage with utilizing tungsten metallization is that it is highly resistant to detrimental electromigration effects.
  • a disadvantage with utilizing tungsten metallization is that its electrical resistivity is higher than copper. More specifically, tungsten line resistances are 4 to 6 times higher than copper interconnects, and via resistances can be as much as 20% higher. These high resistances severely degrade IC performance and are therefore undesirable.
  • FIGS. 1A-1E are cross-sectional side view illustrations of a method of forming cobalt interconnects according to an embodiment of the present invention.
  • FIG. 2 is a cross-sectional side-view illustration of an integrated circuit with multiple metallization layers formed with cobalt interconnects according to an embodiment of the invention.
  • FIG. 3 is a flow chart illustrating a method of forming cobalt interconnects according to an embodiment of the present invention.
  • FIGS. 4A-4D are cross-sectional side view illustrations of a method of forming cobalt interconnects with a cobalt plug according to an embodiment of the invention.
  • FIGS. 5A-5D are cross-sectional side view illustrations of a method of forming cobalt interconnects with a cobalt plug according to an embodiment of the invention.
  • FIG. 6 is a flow chart illustrating a method of forming cobalt interconnects with a cobalt plug according to an embodiment of the invention.
  • FIG. 7 depicts a semiconductor field effect transistor (FET) including a cobalt-based metal gate electrode, in accordance with an embodiment of the present invention.
  • FET semiconductor field effect transistor
  • FIG. 8 depicts a cross-sectional side view illustration of a cobalt interconnect according to an embodiment of the present invention.
  • Some embodiments may have some, all, or none of the features described for other embodiments.
  • “First”, “second”, “third” and the like describe a common object and indicate different instances of like objects are being referred to. Such adjectives do not imply objects so described must be in a given sequence, either temporally, spatially, in ranking, or in any other manner.
  • “Connected” may indicate elements are in direct physical or electrical contact with each other and “coupled” may indicate elements co-operate or interact with each other, but they may or may not be in direct physical or electrical contact.
  • One embodiment of the invention is a cobalt interconnect which includes a manganese (Mn) based adhesion layer and a cobalt fill material.
  • the adhesion layer (sometimes also referred to herein as a barrier layer or wetting layer) may include various Mn based compositions such as, for example, Mn, manganese nitride (MnN), or manganese silicon nitride (MnSi x N y ).
  • Mn based adhesion layer may comprise a film deposited in patterned backend interconnect structures. These interconnect structures may be metalized with cobalt.
  • the Mn based layer adheres well to the interlayer dielectric (ILD) that helps form the interconnect in some embodiments.
  • the Mn based layer also provides wetting to the cobalt metal.
  • the Mn based adhesion layer helps achieve robust gap filling of interconnect structures at small scales such as 22 nm, 14 nm, 10 nm and beyond.
  • An embodiment includes a cobalt interconnect that includes a cobalt plug layer and a cobalt fill material.
  • the plug layer is formed from a different composition and/or by a different process than that used to for the cobalt fill material.
  • Such cobalt interconnects are advantageous since they have a low resistance (e.g., lower than tungsten) and are highly resistive to electromigration (e.g., more resistive to electromigration than copper), enabling fabrication of high performance interconnect structures.
  • the high resistance of traditional barrier layers e.g., TNT barrier layers
  • lower resistance Mn layers may mitigate these resistance issues.
  • a conformal Mn based adhesion layer is formed in an opening in a dielectric layer.
  • a cobalt-based fill material is then deposited or grown on the Mn based adhesion layer to form a cobalt interconnect.
  • FIGS. 1A-1E illustrate a method of forming a cobalt interconnect with a Mn based adhesion layer and a cobalt-containing fill layer according to embodiments of the present invention.
  • FIG. 1A illustrates a substrate 106 with top surface 118 that may be used as a substrate on which a cobalt interconnect can be formed.
  • Substrate 106 can include any portion of a partially fabricated IC on which a cobalt interconnect is ultimately fabricated.
  • substrate 106 will typically include, or have formed thereon, active and passive devices.
  • a conductive region 150 is included in substrate 106 , on to which a cobalt interconnect is ultimately formed.
  • the substrate 106 has been processed through front end of line (FEOL), and the conductive region 150 is a diffusion region formed in a crystalline semiconductor substrate or layer (e.g., the conductive region is a source or drain region of a transistor).
  • the conductive region 150 is an underlying metal line in a back end of line (BEOL) metallization structure, as is described in greater detail below in association with FIG. 2 .
  • BEOL back end of line
  • 150 is not intended to indicate that 150 is necessarily nonmonolithic with 106 or was formed with a different process or non-simultaneously with 106 .
  • area 150 may also be an area doped differently than the rest of 106 when 150 is to serve as a source or drain.
  • embodiments may be ideally suited for fabricating semiconductor ICs such as, but not limited to, microprocessors, memories, charge-coupled devices (CCDs), system on chip (SoC) ICs, or baseband processors, other applications can also include microelectronic machines, MEMS, lasers, optical devices, packaging layers, and the like. Embodiments may also be used to fabricate individual semiconductor devices (e.g., a cobalt structure described herein may be used to fabricate a gate electrode of a metal oxide semiconductor (MOS) transistor).
  • MOS metal oxide semiconductor
  • a dielectric layer 102 is formed above substrate 106 .
  • the dielectric layer 102 may be composed of any suitable dielectric or insulating material such as, but not limited to, silicon dioxide, SiOF, carbon-doped oxide, a glass or polymer material, and the like.
  • An opening is formed in the dielectric layer. The opening exposes the conductive region 150 to which contact (indirect or direct) by a cobalt interconnect is ultimately made.
  • the opening includes a lower opening 114 (e.g., a via hole or slot) with sidewalls 116 and an upper opening 110 (e.g., a metal line trench) with sidewalls 112 , as is common in a dual damascene process.
  • a single opening may instead be formed in the dielectric layer 102 (e.g., as is used in a single damascene approach where only a line or a via, but not both, is fabricated in a single operation).
  • the opening or openings may be fabricated in dielectric layer 102 by well known lithography and etch processing techniques typically used in damascene and dual damascene type fabrication.
  • the dielectric layer 102 is formed on an etch stop layer 104 disposed on substrate 106 .
  • the etch stop layer 104 may be composed of a material such as silicon nitride or silicon oxynitride.
  • a Mn based adhesion layer 120 (e.g., an adhesion layer including Mn, MnN, MnSi x N y and the like) is deposited.
  • a seed layer may be formed on a TNT based adhesion layer.
  • Seed layers such as the seed layer disclosed in U.S. patent application Ser. No. 13/730,184, may facilitate formation of fill material. However, no such seed layer is needed in the embodiment of FIG. 1B . Nor is deposition of an alloy between an adhesion layer and a seed layer needed in the embodiment of FIG. 1B .
  • a Mn based adhesion layer may directly contact ILD 102 and directly contact cobalt fill (described below) without the need for a TNT based adhesion layer, any seed layer, or any alloy layer between a TNT based adhesion layer and a seed layer.
  • the Mn based adhesion layer 120 may be formed on a top surface 108 of the dielectric layer 102 as well as on the exposed top surface 118 of the substrate 106 (e.g., on conductive region 150 ). While 106 is referred to as “substrate” in another embodiment 106 could be a metal interconnect line, and the like.
  • the Mn based adhesion layer 120 is also formed on the sidewalls 116 of the upper opening 114 , and the sidewalls 112 of the lower opening 110 .
  • Mn based adhesion layer 120 may be a conformal layer composed of a material including Mn, MnN, MnSi x N y , MnSi x O y (e.g., Mn 2 [SiO 4 ], MnSiO 3 ), other Mn based silicates, and the like.
  • the Mn content may include 90-100% Mn, where contamination (i.e., the remaining 10%) may include C, H, O and combinations thereof.
  • the adhesion layer including MnN x the Mn may compose 0-50% Mn and the N may compose 0-50%.
  • the adhesion layer may include Mn 4 N or Mn 3 N 2 .
  • the Mn and N may include up to 50% with the Si constituting the remainder of the material.
  • Mn, N, and Si may be included with one another in various adhesion multilayer combinations such as bilayers or trilayers (e.g., an adhesion layer includes one sublayer including MnN and another sublayer including Mn), (e.g., one adhesion layer including a sublayer having Mn and N and another sublayer including primarily Mn), (e.g., one adhesion layer including a sublayer including MnN x Si y and another sublayer including primarily Mn), and the like.
  • % of composition refers to atomic %.
  • the Mn based adhesion layer 120 is formed to a thickness less than 3 nm, and typically 1 nm to 3 nm. In an embodiment thickness ranges for layer 120 (whether layer 120 includes a single layer or multiple sublayers such as one sublayer including MnN and another immediately adjacent sublayer including Mn) may range from 0.1 A to 50 A. In an embodiment layer 120 is between 10 A-20 A including, for example, 10, 12, 14, 16, 18, or 20 A.
  • conductive region 150 includes at least some germanium (e.g., an exposed doped silicon germanium or doped germanium region, or a metal germanide region). In an embodiment, conductive region 150 includes at least some silicon (e.g., an exposed doped silicon region, or a metal silicide region).
  • the layer 120 may be deposited or grown by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), electroplating, electroless plating or other suitable process that deposits conformal thin films.
  • the layer 120 is deposited to form a high quality conformal layer that sufficiently and evenly covers all exposed surfaces within the openings and top surfaces.
  • the adhesion layer may be formed, in one embodiment, by depositing the Mn based material at a slow deposition rate to evenly and consistently deposit the conformal adhesion layer.
  • compatibility of a subsequently formed fill material such as cobalt
  • the layer 120 can assist a deposition process by providing appropriate surface energetics for deposition thereon.
  • Deposition recipes of thin films using processes such as CVD, ALD, and PVD may vary depending on the desired process time, thickness, and quality of conformity. For example, utilizing CVD to deposit the adhesion layer 120 may create a conformal thin film layer more quickly than it would take an ALD process to deposit the same layer; however, the quality of the thin film deposited by the CVD process may be lower than the quality of the thin film deposited by the ALD process.
  • the layer 120 is deposited by a PVD process. The PVD process may be performed with an increased distance between the receiving substrate and the corresponding sputter target to form a highly conformal thin film.
  • a fill material 122 may be formed on the exposed surfaces of the adhesion layer 120 , such that the fill material 122 completely fills the openings 110 and 114 and is formed on the top surface of the adhesion layer 120 and on the top surface 108 of dielectric 102 .
  • a seam 124 may be formed within the openings 110 , 114 during deposition of the fill material 122 .
  • the fill material 122 is composed of a dilute alloy composed of approximately 0.25-5% of a non-cobalt element (e.g., Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Tr, Re, or Pd) with the remainder approximately 95+% cobalt.
  • a dilute alloy can involve, either during deposition or processing subsequent to deposition, migration of the non-cobalt species to surfaces of fill 122 .
  • the migration can provide a diffusion barrier for the cobalt (in addition to adhesion/barrier layer 120 ) and/or be used to enhance adhesion of the cobalt to layer 120 . Grain boundaries within the cobalt may also be filled by the non-cobalt species.
  • the fill material 122 consists essentially only of cobalt. In another embodiment, the fill material 122 is at least 90% cobalt. In yet another embodiment, the fill material 122 is composed of at least 50% cobalt.
  • the cobalt fill material 122 may be formed by a process such as, but not limited to, CVD, ALD, PVD, electroplating, or electro-less plating.
  • the process method used to form the fill material 122 may be different than the process used to form the layer 120 .
  • the layer 120 may be formed conformally, while the fill material 122 is formed in a non-conformal or bottom up approach.
  • the layer 120 may be formed by an ALD deposition process that forms a conformal layer on exposed surfaces of the receiving substrate, while the fill material 122 may be formed by PVD process that directionally sputters the fill material onto the surfaces of the layer 120 , with greater deposition rates on flat horizontal surfaces as opposed to on more vertically oriented sidewall surfaces.
  • the layer 120 may be formed by an ALD deposition process that forms a conformal layer on exposed surfaces of the receiving substrate, while the fill material 122 may be formed by an electroplating process that grows the fill material from the surfaces of the layer 120 .
  • the layer 120 may be formed by a CVD deposition process and the fill material may be formed by a PVD process.
  • the layer 120 and the fill material 122 may be deposited by the same process (e.g. ALD, CVD, or PVD) but with different sets of deposition parameters, such as pressure, deposition rate, temperature, and the like.
  • the layer 120 and the fill material 122 may be deposited by a CVD process; however, the set of parameters used in the CVD processing for the adhesion layer 120 , such as deposition pressure and temperature, may be different than the set of parameters used in the CVD processing for the fill material 122 .
  • the layer 120 and the fill material 122 are formed by a PVD process, but the layer 120 may be formed by a PVD process with a larger distance between the target and the receiving substrate than the PVD process used to form the fill material 122 .
  • the metal fill material 122 is formed by a colummated PVD process, while the layer 120 is formed by a non-colummated PVD process.
  • the layer 120 is formed by an ALD process with a lower deposition rate than the deposition rate of the ALD process used to form the fill material 122 such that the layer 120 is formed more conformally than the fill material 122 .
  • an anneal process may optionally be performed to reflow the deposited interconnect layer.
  • the seam 124 from FIG. 1C may be removed subsequent to the anneal process, forming a solid structure within openings 110 and 114 within dielectric layer 102 .
  • the anneal process may help grow larger grain structures within the fill material 122 , decreasing resistivity and expelling impurities from poor grain structures.
  • the anneal process uses gasses such as nitrogen, hydrogen, and argon.
  • the anneal process may be performed at a temperature less than the thermal budget of the backend structures. For example, in one embodiment, the anneal process is performed at a temperature of room temperature to 300° C., 400° C., 500° C. or more.
  • the anneal process is performed at a temperature that is higher than the melting point of fill material 122 but lower than the thermal budget of the backend structures.
  • the anneal process can vary widely (e.g., room temperature to 300° C., 400° C., 500° C. or more for interconnects ranging up to 900° C. for Front end applications).
  • the anneal temperature is not higher than the melting point of the material being annealed as reflow of materials to be annealed can happen at much lower temperatures than the melting point for the material to be annealed.
  • anneal temperatures for the material to be annealed may be less than the thermal budget of backend structures.
  • a cycling technique may optionally be utilized to deposit the interconnect layer 122 (also referred to as fill layer 122 ) within the openings 114 and 110 without the seam 124 .
  • One cycle may involve one deposition of the fill material 122 and one anneal process.
  • the anneal operation of one cycle may be set at a temperature and time duration to briefly reflow the fill material to improve step coverage.
  • the deposition operation of one cycle may be a short deposition to deposit less fill material, such that several operations are required to completely fill the via and line openings 114 and 110 . In one embodiment, less than 5 cycles are needed to deposit the fill material 122 without a seam 124 .
  • a chemical mechanical planarization (CMP) process may be performed to remove the fill material 122 and the adhesion layer 120 disposed above the top surface 108 of the dielectric layer 102 .
  • the CMP process may be a timed CMP process that is timed to stop at the top surface 108 of the line dielectric layer.
  • the CMP process may utilize the top surface 108 of the line dielectric layer as a stopping layer. Because the thickness of the fill material deposited above the top surface of the line dielectric layer may vary, utilizing the top surface 108 as a stopping layer may be a more reliable method.
  • an etch process is used to remove the fill material 122 and the layer 120 disposed above the top surface 108 of the dielectric layer 102 .
  • FIG. 2 illustrates a cross-section 200 of a portion of an IC structure with cobalt metal interconnects according to an embodiment of the present invention.
  • a stack of dielectric layers 102 includes metal interconnects having adhesion layers 120 and metal (e.g., cobalt) fill layers 124 .
  • the portion of the IC structure shown in FIG. 2 can be a portion of a back end of line (BEOL) metallization structure as found, for example, in a microprocessor die or memory die.
  • BEOL back end of line
  • FIG. 3 is a flow chart 300 illustrating a method of forming a cobalt metal interconnect according to an embodiment of the present invention.
  • an opening is formed in a dielectric layer to expose a conductive region in a substrate (or on an additional metal interconnect).
  • a Mn based adhesion/barrier layer is formed over the substrate, in contact with the conductive region, as well as over the dielectric layer and on sidewalls of the opening.
  • a fill material is formed on the adhesion layer and fills the opening.
  • the fill material is composed of a cobalt-based material.
  • heat is applied to reflow the fill material.
  • the fill material and adhesion layer material disposed above an upper surface of the dielectric layer are removed.
  • a cobalt-based plug is formed in a lower portion (e.g., a via hole or slot) of an opening in a dielectric layer.
  • a cobalt-based conductive line is then formed on the cobalt-based plug, in an upper portion (e.g., a metal line trench) of the opening, to form a cobalt interconnect.
  • FIGS. 4A-4D illustrate a method of forming a cobalt metal interconnect according to an embodiment of the invention. The method begins with the same structure as illustrated and described in association with FIG. 1B , which includes adhesion layer 120 and will not be described again for the sake of brevity.
  • a plug 420 is formed on adhesion layer 120 .
  • the plug 420 is formed only within the lower opening 114 of the dielectric layer 102 such that the lower opening 114 is completely filled with plug material 420 .
  • the top surface of the plug 420 may not be planar with the top surface of the lower opening 114 .
  • the top surface of the plug 420 may be higher or lower than the top surface of the lower opening.
  • the top surface of the plug 420 may be at, above, or below the horizontal top surface of layer 120 where layer 120 is horizontally disposed at the top of 114 and bottom of 110 . As depicted in FIG.
  • the plug 420 has a top surface just below the horizontal top surface of layer 120 where layer 120 is horizontally disposed at the top of 114 and bottom of 110 .
  • the top surface of the plug 420 may form a mushroom-like dome as a result of the growth profile during formation of plug 420 .
  • plug 420 is formed in a bottom-up approach. That is, the plug 420 is not formed by conformal deposition.
  • the formation of plug 420 is performed by selectively depositing on, and then growing from, the surface of layer 120 directly above the conductive region 150 .
  • the plug 420 is formed by electrolessly plating the plug material onto an exposed and compatible surface of layer 120 .
  • the conductive region 150 has an upper metalized or metal-containing surface such as cobalt (Co), copper (Cu) or Tungsten (W), and a cobalt-based plug 420 is formed by electroless deposition involving bottom-up growth from the layer 120 above the metalized or metal-containing surface of conductive region 150 .
  • other suitable bottom-up fill and growth deposition approaches may be used such as, but not limited to, electroplating.
  • a bottom-up fill approach is one in which a deposition rate is faster on planar or flat surfaces than on vertical sidewall surfaces.
  • the plug 420 may be a cobalt-based plug composed of at least 50% cobalt.
  • the plug 420 is composed of at least 90% cobalt.
  • the non-cobalt remainder of the plug 420 composition can include one or more of Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Ir, Re, or Pd.
  • the plug 420 is composed of a cobalt-based compound or alloy material.
  • the plug 420 is composed of a dilute alloy composed of approximately 0.25-5% of a non-cobalt element, such as those listed above, with the remainder approximately 95+% cobalt, is used.
  • a dilute alloy can involve, either during deposition or processing subsequent to deposition, migration of the non-cobalt species to surfaces or interfaces of the cobalt plug. The migration can provide a diffusion barrier for the cobalt and/or be used to enhance adhesion of the cobalt to layer 120 . Grain boundaries within the cobalt may also be filled by the non-cobalt species.
  • the plug 420 may include less than 50% cobalt, but still be referred to as a cobalt-based material.
  • Exemplary embodiments of cobalt-based compound plug 420 materials include cobalt silicide or cobalt germanide plug materials.
  • conductive region 150 includes at least some germanium (e.g., an exposed doped silicon germanium or doped germanium region, or a metal germanide region), and the plug 420 material is a cobalt germanide layer.
  • conductive region 150 includes at least some silicon (e.g., an exposed doped silicon region, or a metal silicide region), and the plug 420 material is a cobalt silicide layer.
  • cobalt-based alloy plug 420 materials include cobalt alloyed with one or more of the following: Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Ir, Re, or Pd.
  • the cobalt plug 420 may have a small grain structure.
  • the above mentioned dopant i.e., the 0.25-5% of a non-cobalt element
  • the dopant could be used without layer 120 so that cobalt would adhere directly to sidewall 116 as well as portion 150 .
  • the dopant essentially creates a layer 120 (an adhesion layer) by diffusion to interfaces such as interfaces at 150 and 116 .
  • the dopant forms a layer with portion 150 .
  • the dopant makes no layer formation with 150 so that the barrier is bottomless and plug 420 directly contacts area 150 .
  • pretreatment 422 may optionally be performed on the exposed top surface of the plug 420 and layer 120 .
  • Pretreatment may be performed by a plasma process or ion bombardment to enhance adhesion of a later formed compound to the treated surface.
  • pretreatment may be performed in a plasma chamber at a temperature ranging from room temperature up to approximately 300° C., 400° C., 500° C. or more for approximately 20 to 60 seconds utilizing, for example, H 2 /He plasma, Ar plasma, NH 3 plasma, N 2 plasma, and/or combinations thereof.
  • the pretreatment may include Ar ion bombardment. It is to be understood that such pretreatment may be performed at other stages of the process flow (e.g., prior to forming plug materials).
  • the pretreatment is performed before layer 120 is formed.
  • a treatment of layer 120 can be quite beneficial to density without affecting dielectrics such as layer 102 .
  • a fill material 424 is formed on the plug 420 and layer 120 within upper opening 110 .
  • a seam 426 may be formed within the upper opening 110 subsequent to deposition of the fill material 424 .
  • fill material 424 is composed of a material comprising cobalt.
  • fill material may be composed of, and deposited by, materials and processes described above for fill material 122 .
  • the fill material 424 may differ from the plug 420 material by composition and/or deposition technique.
  • An anneal process may optionally be performed to reflow the deposited fill material 424 .
  • the seam 426 from FIG. 4C may be removed subsequent to the anneal process, forming a solid structure within openings 110 and 114 within dielectric layer 102 .
  • the anneal process may enable growth of larger grain structures within the fill material 424 , decreasing resistivity and expelling impurities from otherwise poor grain structures.
  • the anneal process involves use of a forming gas such as, but not limited to, nitrogen, hydrogen, or argon.
  • the anneal process may be performed at a temperature less than the thermal budget of the backend structures.
  • the anneal process is performed at a temperature of room temperature to 300° C., 400° C., 500° C. or more.
  • the anneal process is performed at a temperature that is higher than the melting point of fill material 424 but lower than the thermal budget of the backend structures.
  • the anneal temperature is not higher than the melting point of the material being annealed as reflow of materials to be annealed can happen at much lower temperatures than the melting point for the material to be annealed. In such embodiments anneal temperatures for the material to be annealed may be less than the thermal budget of backend structures.
  • a cycling technique is optionally utilized to deposit the fill material 424 within the opening 110 without the seam 426 .
  • One cycle may involve a single deposition of the fill material 424 and a single anneal process.
  • the anneal operation of one cycle may be set at a temperature and time duration to briefly reflow the fill material 424 to improve step coverage.
  • the deposition operation of one cycle may be a short deposition to deposit less fill material 424 , such that several operations are required to completely fill the upper opening 110 . In one embodiment, less than 5 cycles are needed to deposit the fill material 424 without a seam 426 .
  • a CMP process may be performed to remove the fill material 424 above the top surface of layer 120 to provide the cobalt-based structure 428 .
  • the CMP process may be a timed CMP process that is timed to stop at the top surface 108 of the line dielectric layer.
  • the CMP process may utilize the top surface 108 of the dielectric layer 102 as a stopping layer. Because the thickness of the fill material deposited above the top surface 108 of the dielectric layer 102 may vary, utilizing the top surface 108 as a stopping layer may be a more reliable method.
  • an etch process is used to remove the fill material 424 above the top surface 108 of the dielectric layer 102 .
  • a cobalt-based plug is formed in a lower portion (e.g., a via hole or slot) of an opening in a dielectric layer.
  • An adhesive layer is then formed in an upper portion (e.g., a metal line trench) of the opening, over the cobalt-based plug.
  • a cobalt-based conductive line is then formed on the portion of the adhesive layer that is directly over the plug to fill the upper portion of the opening to form a cobalt interconnect.
  • FIGS. 5A-5D illustrate a method of forming a cobalt interconnect with a Mn based adhesion layer and a cobalt containing fill layer according to an embodiment of the invention.
  • the method begins with the same structure as illustrated and described in association with FIG. 1A .
  • the cobalt-based plug 420 is formed in the lower opening 114 of the dielectric layer 102 , on the conductive region 150 of substrate 106 .
  • the adhesion layer be first formed along the side walls of dielectric 102 and/or on top of portion 150 before plug 420 is formed.
  • a Mn based adhesion layer 524 (e.g., Mn, MnN, MnSi x N y MnSi x O y (e.g., Mn 2 [SiO 4 ], MnSiO 3 ), other Mn based silicates, and the like) is deposited conformally with the structure of FIG. 5A .
  • the Mn based adhesion layer 524 is formed in the upper opening 114 of the dielectric layer 102 and on the exposed plug 420 .
  • the composition and method of forming the adhesion layer 524 may be as described for adhesion layer 120 of FIG. 1B .
  • a fill material 526 is formed on the adhesion layer 524 , within upper opening 110 , and on the top surface 108 of the dielectric layer 102 .
  • a seam 528 may be formed within the opening 110 subsequent to deposition of the fill material 526 .
  • the composition and method of forming the fill material layer 526 may be as described for fill material 122 of FIG. 1C .
  • the plug 420 and the fill material 526 are all cobalt-based, they may all differ from one another by composition and/or deposition technique.
  • An anneal process may optionally be performed to reflow the deposited fill material 526 .
  • the seam 528 from FIG. 5C may be removed subsequent to the anneal process, forming a solid structure within opening 110 of dielectric layer 102 .
  • the anneal process may enable growth of larger grain structures within the fill material 526 , decreasing resistivity and expelling impurities from poor grain structures.
  • the anneal process involves a use of gas such as, but not limited to, nitrogen, hydrogen, or argon.
  • the anneal process may be performed at a temperature less than the thermal budget of the backend structures. For example, in one embodiment, the anneal process is performed at a temperature of room temperature to 300° C., 400° C., 500° C. or more.
  • the anneal process is performed at a temperature that is higher than the melting point of fill material 526 but lower than the thermal budget of the backend structures.
  • the anneal temperature is not higher than the melting point of the material being annealed as reflow of materials to be annealed can happen at much lower temperatures than the melting point for the material to be annealed. In such embodiments anneal temperatures for the material to be annealed may be less than the thermal budget of backend structures.
  • a cycling technique may be utilized to deposit the fill material 526 within the opening 110 without the seam 528 .
  • One cycle may involve one deposition of the fill material 526 and one anneal process.
  • the anneal operation of one cycle may be set at a temperature and time duration to briefly reflow the fill material to improve step coverage.
  • the deposition operation of one cycle may be a short deposition to deposit less fill material, such that several operations are required to completely fill the opening 510 . In one embodiment, less than 5 cycles are needed to deposit the fill material 526 without a seam 528 .
  • a CMP process may be performed to remove the fill material 526 and the adhesion layer 524 disposed above the top surface 108 of the dielectric layer 102 to provide the cobalt-based structure 530 .
  • the CMP process may be a timed CMP process that is timed to stop at the top surface 108 of the dielectric layer 102 .
  • the CMP process may utilize the top surface 108 of the dielectric layer 102 as a stopping layer. Because the thickness of the fill material deposited above the top surface 108 of the dielectric layer 102 may vary, utilizing the top surface 108 as a stopping layer may be a more reliable method.
  • an etch process is used to remove the fill material 526 and the layer 524 disposed above the top surface 108 of the dielectric layer 102 .
  • FIG. 6 is a flow chart 600 illustrating a method of forming a cobalt metal interconnect according to an embodiment of the present invention.
  • an opening is formed in a dielectric layer to expose a conductive region in a substrate.
  • a cobalt plug is formed in a lower portion of the opening, in contact with the conductive region.
  • a Mn based adhesion layer e.g., Mn, MnN, MnSi x N y and the like
  • a fill material is formed on the plug and adhesion layer to fill the opening.
  • the fill material is composed of a cobalt-based material.
  • heat is applied to reflow the fill material.
  • the fill material disposed above an upper surface of the dielectric layer is removed.
  • the fill material and the plug both include cobalt, but have different material compositions.
  • the fill material and the plug both include cobalt, but are formed by different deposition or growth techniques.
  • the fill material and the plug both include cobalt, but have different material compositions and are formed by different deposition or growth techniques.
  • a metal gate electrode of a semiconductor device is composed, as least partially, of cobalt. That is, embodiments of the present invention need not be limited to forming cobalt-based interconnects.
  • FIG. 7 depicts a metal oxide semiconductor field effect transistor (MOS-FET) 700 fabricated on a substrate 702 , in accordance with an embodiment of the present invention.
  • MOS-FET metal oxide semiconductor field effect transistor
  • a gate dielectric layer 704 is disposed above a channel region 706
  • a gate electrode 708 is disposed above gate dielectric layer 704 .
  • Gate dielectric layer 704 and gate electrode 708 may be isolated by gate isolation spacers 710 .
  • Tip extensions 712 may be formed by implanting dopant atoms into substrate 702 .
  • Source and drain regions may be formed by selectively growing an epitaxial film in etched-out portions of substrate 702 and are doped either in situ or after epitaxial film growth, or both.
  • tip extensions 712 may be formed simultaneously with the source and drain regions to create “epi” tip extensions.
  • the channel region 706 is composed of a semiconductor material, such as single crystalline silicon.
  • the gate electrode 708 is a metal gate electrode (e.g., the workfunction of the gate electrode 708 is based on a metal or metal-containing layer). In one such embodiment, the metal gate electrode is composed, at least somewhat, of cobalt.
  • the metal gate electrode 708 includes a Mn based adhesion layer (e.g., Mn, MnN, MnSi x N y and the like as described above) 708 A and a cobalt fill metal thereon 708 B.
  • the cobalt-based material or film 708 B is composed of at least 90% cobalt.
  • the cobalt-based material or film 708 B is composed of a dilute alloy having approximately 0.25-5% of a non-cobalt element, with the remainder approximately 95+% cobalt.
  • the MOS-FET 700 may be a planar device or include a three-dimensional body (e.g., as in a double-gate, fin-fet, tri-gate, or gate-all-around transistor).
  • the substrate 702 may be a planar substrate or depict a cross-sectional view of a three-dimensional body.
  • isolation layers e.g., interlayer dielectric layer 740 , and metallization routing layers used for integrating MOS-FET 700 into, e.g., an integrated circuit may also be included, as is well known in the art.
  • a mobile computing node such as a cellular phone, Smartphone, tablet, Ultrabook®, notebook, laptop, personal digital assistant, and mobile processor based platform.
  • Example 1 includes a dielectric layer disposed on a substrate; an opening in the dielectric layer, wherein the opening has sidewalls and exposes a conductive region of at least one of the substrate and an additional interconnect structure; an adhesive layer, comprising manganese, disposed over the conductive region and on the sidewalls; and a fill material, comprising cobalt, within the opening and on a surface of the adhesion layer.
  • the dielectric directly contacts the substrate but in other embodiments one or more layers are between the dielectric and the substrate.
  • the additional interconnect structure may include a via, a trench filled with metal (interconnect line), and the like.
  • an adhesive layer, comprising manganese, disposed over the conductive region does not necessarily mean manganese will be in the portion of the adhesive layer that is over the conductive region.
  • an adhesive layer, comprising manganese, disposed over the conductive region is still satisfied if manganese in not in the adhesion layer portion over the conductive region but is in the adhesion layer that is on the sidewalls.
  • Example 2 the subject matter of Example 1 can optionally include wherein the fill material is composed of at least 50 atomic % cobalt.
  • the subject matter of Examples 1-2 can optionally include wherein the adhesion layer includes at least one element selected from a group consisting of silicon, nitrogen, carbon, hydrogen, and oxygen.
  • Example 4 the subject matter of Examples 1-3 can optionally include wherein the adhesion layer is no thicker than 50 A.
  • Example 5 the subject matter of Examples 1-4 can optionally include wherein the adhesion layer directly contacts the fill material.
  • Example 6 the subject matter of Examples 1-5 can optionally include wherein the adhesion layer directly contacts the conductive region. In another version of example 6 the subject matter of Examples 1-5 can optionally include wherein the adhesion layer directly contacts the conductive region and the dielectric layer.
  • the subject matter of Examples 1-6 can optionally include wherein the fill material includes at least one element selected from a group consisting of silicon and manganese. This may be due to silicon or manganese migrating from the adhesion layer into the fill material and/or this may be due to the fill material being deposited with silicon and/or manganese already present in addition to cobalt.
  • the subject matter of Examples 1-7 can optionally include wherein the fill material includes manganese that directly contacts the manganese included in the adhesion layer.
  • the cobalt fill layer may include manganese and/or silicon. This may not be visually evident with a scanning electron microscopic (SEM) image or transmission electron microscopic (TEM) image but other detection methods may indicate the presence of manganese and/or silicon in the cobalt fill layer.
  • SEM scanning electron microscopic
  • TEM transmission electron microscopic
  • the manganese and/or silicon may be present along the outer edge of the cobalt fill layer because the manganese and/or silicon may have migrated from the adhesion layer to the cobalt fill layer.
  • a wetting layer includes an initial layer of atoms epitaxially grown on a surface upon which self-assembled quantum dots or thin films are created.
  • An example concerns TEM energy dispersive x-rays (EDX).
  • EDX TEM energy dispersive x-rays
  • the small TEM probe electrons interact with material being imaged and that material emits x-rays from the different elements present within one pixel of the image. This allows for elemental maps of the image. Higher sensitivity is achieved by taking line scans across structures with more dwell time (e.g., across a via rather than every pixel in image).
  • the manganese may migrate to the adhesion layer/fill material interface improving adhesion.
  • EDX may then detect manganese in the cobalt fill layer and around the adhesion layer/fill layer edge/interface.
  • example 8b if manganese is at the adhesion layer/fill layer interface it might diffuse into cobalt and other interfaces (e.g., like top layer after CMP has been performed). Such a case would be detected in both the fill layer and around edges/interfaces. In an embodiment, if no diffusion of manganese occurs the manganese may only be in the fill layer of example 8a and at the interface in example 8b. In embodiment, if manganese is present both in 120 and the fill layer, the manganese may be detected in both areas. Diffusion may change relative concentrations/compositions and ability to adhere or wet so the processes of examples 8a and/or 8b take place but manganese may still be detectable in both scenarios in an embodiment.
  • An embodiment is similar to the embodiment of FIG. 5D but includes a dielectric capping layer/etch stop (e.g., similar or directly equal to the materials and structure of element 104 ) that extends from the top surface on the left dielectric portion 102 , across the tops of 524 and 526 , and then across the top of the right dielectric portion 102 .
  • the cap protects the lines (e.g., material 530 ) hermetically and manganese (which in this embodiment is mixed and present in cobalt fill 530 ) would diffuse to the capping layer to improve adhesion of dielectric capping layer/etch stop to the cobalt 530 (e.g., by silicate formation).
  • the manganese may diffuse from layer 120 or diffuse from an alloy cobalt fill layer that was deposited already including some amount of manganese.
  • the dielectric cap may be deposited at a high temperature and so that temperature drives the thermal diffusion of manganese from within the layers 120 and/or 530 and/or 420 up to the top of the trench 110 .
  • the manganese could be detected at the new dielectric cap/layer 530 interface by TEM EDX methods and the like.
  • Examples 1-8 can optionally include a dielectric layer formed directly on top of the adhesive layer and the fill material, the dielectric layer comprising manganese; wherein the fill material also includes manganese.
  • the manganese may have migrated from the adhesion layer and/or adhesion layer into the dielectric.
  • the subject matter of Examples 1-8 can optionally include a dielectric layer formed directly on top of the fill material, the dielectric layer comprising a first material; wherein the first material is also included in the fill material and the first material is selected from the group comprising Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Ir, Re, and Pd.
  • the material may have migrated into the dielectric from the fill material.
  • the subject matter of Examples 1-8 can optionally include wherein (a) the adhesion layer includes a first portion directly contacting the dielectric layer and second portion directly contacting the conductive region, and (b) the first portion includes a higher atomic % manganese than the second portion. Manganese bonds well with the dielectric as well as the cobalt fill layer. On the adhesion layer/dielectric interface manganese forms MnSi x O y (e.g., Mn 2 [SiO 4 ], MnSiO 3 ), and other Mn based silicates.
  • MnSi x O y e.g., Mn 2 [SiO 4 ], MnSiO 3
  • the silicate has the function of a diffusion barrier, preventing diffusion of cobalt into the surrounding dielectric and will also will have adhesive/wetting functions making sure cobalt adheres well to the dielectric.
  • metal-to-metal bonding occurs (e.g., between the manganese and cobalt) and results in some alloying at the interface.
  • This bonding gives an additional benefit that manganese will dissolve at the via bottom (where in an embodiment the adhesion layer directly contacts the metal fill layer and possibly another interconnect or a conduction substrate portion). This lowers electrical resistance in the via at the adhesion layer interface to the other interconnect or conductive portion of the substrate.
  • the manganese from 120 that forms at the via bottom initially starts out as thick as similar 120 portions on ILD. After anneal the manganese may diffuse completely into the cobalt fill or may remain in layer 120 . The amount that remains may vary. Thus, in some embodiments the adhesion layer near the bottom of the via may have little to no manganese remaining after device processing is complete.
  • the subject matter of Examples 1-9 can optionally include wherein the fill material consists essentially of cobalt.
  • the subject matter of Examples 1-9 can optionally include wherein the adhesion layer does not completely separate the conductive region from the fill material and the fill material directly contacts a portion of the conductive region.
  • the adhesion layer does not completely separate the conductive region from the fill material and the fill material directly contacts a portion of the conductive region.
  • This may be deemed an area where there is no adhesion layer present that can be detected (regardless of whether the layer is there or not) and thus the “adhesion layer does not completely separate the conductive region from the fill material and the fill material directly contacts a portion of the conductive region.”
  • FIG. 8 which has identifiers (e.g., 102 , 110 , etc.) that correspond to FIG. 1E ).
  • Example 11 includes a method of forming a metal interconnect structure, comprising: forming an opening in a dielectric layer on a substrate, wherein the opening exposes a conductive region of at least one of the substrate and an additional interconnect structure; forming an adhesion layer, comprising manganese, in the opening and on the conductive region and also on the sidewalls; forming a fill material, comprising cobalt, within the opening and on a surface of the adhesion layer; and removing portions of the fill material and the adhesion layer above an upper surface of the dielectric layer.
  • Example 12 the subject matter of Example 11 can optionally include wherein the adhesion layer includes at least one element selected from a group consisting of silicon, nitrogen, carbon, hydrogen, and oxygen.
  • Example 14 the subject matter of Examples 11-13 can optionally include wherein the adhesion layer directly contacts the conductive region.
  • the subject matter of Examples 13-14 can optionally include wherein (a) the adhesion layer includes a first portion directly contacting the dielectric layer and second portion directly contacting the conductive region, and (b) the first portion includes a higher atomic % manganese than the second portion.
  • Example 16 the subject matter of Examples 13-15 can optionally include forming the adhesion layer with a conformal method and forming the fill layer with a method that is non-conformal.
  • Examples 13-15 can optionally include forming a dielectric layer directly on top of the adhesive layer and the fill material, the dielectric layer comprising manganese; wherein the fill material also includes manganese. Again, the manganese may have migrated into the dielectric from the adhesive layer and/or fill material.
  • Example 17 includes a metal interconnect structure, comprising: a dielectric layer disposed on a substrate; an opening disposed in the dielectric layer and exposing a conductive region in at least one of the substrate and an additional interconnect structure, the opening having a lower portion and an upper portion; a plug comprising cobalt disposed in the lower portion of the opening; an adhesive layer, comprising manganese, disposed on the sidewalls; and a fill material comprising cobalt disposed on the plug and in the upper portion of the opening.
  • Example 18 the subject matter of Example 17 can optionally include wherein the adhesive layer directly contacts the plug and the dielectric.
  • Example 19 the subject matter of Examples 17-18 can optionally include wherein the adhesive layer is between the plug and the fill material.
  • Example 20 the subject matter of Examples 17-19 can optionally include wherein the plug and fill material have different compositions.
  • the subject matter of Examples 17-19 can optionally include a dielectric layer formed directly on top of the adhesive layer and the fill material, the dielectric layer comprising manganese; wherein the fill material also includes manganese.
  • the manganese may have migrated from the adhesion layer into the dielectric.
  • terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.”
  • the term “on” as used herein does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer.
  • the embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations.

Abstract

An embodiment includes a metal interconnect structure, comprising: a dielectric layer disposed on a substrate; an opening in the dielectric layer, wherein the opening has sidewalls and exposes a conductive region of at least one of the substrate and an interconnect line; an adhesive layer, comprising manganese, disposed over the conductive region and on the sidewalls; and a fill material, comprising cobalt, within the opening and on a surface of the adhesion layer. Other embodiments are described herein.

Description

TECHNICAL FIELD
Embodiments of the present invention relate generally to metal interconnect structures and their methods of fabrication. More particularly, embodiments of the present invention relate to cobalt based interconnect structures and their methods of fabrication.
BACKGROUND
Integrated circuit (IC) devices typically include circuit elements such as transistors, capacitors and resistors formed within or on a semiconductor substrate. Interconnect structures are used to electrically couple or connect the discrete circuit elements into functional circuits. Typical metal interconnects may include a line portion and a via portion.
Interconnect structures may be fabricated from copper, and may include a barrier layer such as titanium or tantalum or nitride materials such as tantalum nitride or titanium nitride, or a combination thereof (e.g., tantalum nitride/tantalum (TNT)). A problem with utilizing copper interconnect structures is that they are highly susceptible to electromigration which can lead to void formation and failure.
Tungsten metallization has been successfully used to fabricate front end contacts and, thus, has been suggested for back end metallization for interconnect fabrication. A desirable advantage with utilizing tungsten metallization is that it is highly resistant to detrimental electromigration effects. However, a disadvantage with utilizing tungsten metallization is that its electrical resistivity is higher than copper. More specifically, tungsten line resistances are 4 to 6 times higher than copper interconnects, and via resistances can be as much as 20% higher. These high resistances severely degrade IC performance and are therefore undesirable.
BRIEF DESCRIPTION OF THE DRAWINGS
FIGS. 1A-1E are cross-sectional side view illustrations of a method of forming cobalt interconnects according to an embodiment of the present invention.
FIG. 2 is a cross-sectional side-view illustration of an integrated circuit with multiple metallization layers formed with cobalt interconnects according to an embodiment of the invention.
FIG. 3 is a flow chart illustrating a method of forming cobalt interconnects according to an embodiment of the present invention.
FIGS. 4A-4D are cross-sectional side view illustrations of a method of forming cobalt interconnects with a cobalt plug according to an embodiment of the invention.
FIGS. 5A-5D are cross-sectional side view illustrations of a method of forming cobalt interconnects with a cobalt plug according to an embodiment of the invention.
FIG. 6 is a flow chart illustrating a method of forming cobalt interconnects with a cobalt plug according to an embodiment of the invention.
FIG. 7 depicts a semiconductor field effect transistor (FET) including a cobalt-based metal gate electrode, in accordance with an embodiment of the present invention.
FIG. 8 depicts a cross-sectional side view illustration of a cobalt interconnect according to an embodiment of the present invention.
DETAILED DESCRIPTION
Reference will now be made to the drawings wherein like structures may be provided with like suffix reference designations. In order to show the structures of various embodiments more clearly, the drawings included herein are diagrammatic representations of semiconductor/circuit structures. Thus, the actual appearance of the fabricated integrated circuit structures, for example in a photomicrograph, may appear different while still incorporating the claimed structures of the illustrated embodiments. Moreover, the drawings may only show the structures useful to understand the illustrated embodiments. Additional structures known in the art may not have been included to maintain the clarity of the drawings. For example, not every layer of a semiconductor device is necessarily shown. “An embodiment”, “various embodiments” and the like indicate embodiment(s) so described may include particular features, structures, or characteristics, but not every embodiment necessarily includes the particular features, structures, or characteristics. Some embodiments may have some, all, or none of the features described for other embodiments. “First”, “second”, “third” and the like describe a common object and indicate different instances of like objects are being referred to. Such adjectives do not imply objects so described must be in a given sequence, either temporally, spatially, in ranking, or in any other manner. “Connected” may indicate elements are in direct physical or electrical contact with each other and “coupled” may indicate elements co-operate or interact with each other, but they may or may not be in direct physical or electrical contact.
One embodiment of the invention is a cobalt interconnect which includes a manganese (Mn) based adhesion layer and a cobalt fill material. The adhesion layer (sometimes also referred to herein as a barrier layer or wetting layer) may include various Mn based compositions such as, for example, Mn, manganese nitride (MnN), or manganese silicon nitride (MnSixNy). The Mn based adhesion layer may comprise a film deposited in patterned backend interconnect structures. These interconnect structures may be metalized with cobalt. The Mn based layer adheres well to the interlayer dielectric (ILD) that helps form the interconnect in some embodiments. The Mn based layer also provides wetting to the cobalt metal. Thus, the Mn based adhesion layer helps achieve robust gap filling of interconnect structures at small scales such as 22 nm, 14 nm, 10 nm and beyond.
An embodiment includes a cobalt interconnect that includes a cobalt plug layer and a cobalt fill material. The plug layer is formed from a different composition and/or by a different process than that used to for the cobalt fill material. Such cobalt interconnects are advantageous since they have a low resistance (e.g., lower than tungsten) and are highly resistive to electromigration (e.g., more resistive to electromigration than copper), enabling fabrication of high performance interconnect structures. As dimensions scale in conventional interconnect processing, the high resistance of traditional barrier layers (e.g., TNT barrier layers) can impact the performance of conventional copper interconnects to greater extents. However, lower resistance Mn layers may mitigate these resistance issues.
In a first aspect, a conformal Mn based adhesion layer is formed in an opening in a dielectric layer. A cobalt-based fill material is then deposited or grown on the Mn based adhesion layer to form a cobalt interconnect.
FIGS. 1A-1E illustrate a method of forming a cobalt interconnect with a Mn based adhesion layer and a cobalt-containing fill layer according to embodiments of the present invention. FIG. 1A illustrates a substrate 106 with top surface 118 that may be used as a substrate on which a cobalt interconnect can be formed. Substrate 106 can include any portion of a partially fabricated IC on which a cobalt interconnect is ultimately fabricated. For example, substrate 106 will typically include, or have formed thereon, active and passive devices. As depicted in FIG. 1A, a conductive region 150 is included in substrate 106, on to which a cobalt interconnect is ultimately formed. In one such embodiment, the substrate 106 has been processed through front end of line (FEOL), and the conductive region 150 is a diffusion region formed in a crystalline semiconductor substrate or layer (e.g., the conductive region is a source or drain region of a transistor). In another such embodiment, the conductive region 150 is an underlying metal line in a back end of line (BEOL) metallization structure, as is described in greater detail below in association with FIG. 2. Thus, while portion 150 is at times referred to herein as a “conductive region 150” this may or may not indicate that area 150 is any more or less conductive than the rest of 106. Also, the use of 150 is not intended to indicate that 150 is necessarily nonmonolithic with 106 or was formed with a different process or non-simultaneously with 106. For example, when 106 is an interconnect line area 150 is monolithic with 106 and structurally and functionally indistinguishable from the rest of 106. However, area 150 may also be an area doped differently than the rest of 106 when 150 is to serve as a source or drain. The above clarification is provided to avoid excessive figures and to otherwise provide clarity in succinct manner.
Although embodiments may be ideally suited for fabricating semiconductor ICs such as, but not limited to, microprocessors, memories, charge-coupled devices (CCDs), system on chip (SoC) ICs, or baseband processors, other applications can also include microelectronic machines, MEMS, lasers, optical devices, packaging layers, and the like. Embodiments may also be used to fabricate individual semiconductor devices (e.g., a cobalt structure described herein may be used to fabricate a gate electrode of a metal oxide semiconductor (MOS) transistor).
Referring again to FIG. 1A, a dielectric layer 102 is formed above substrate 106. The dielectric layer 102 may be composed of any suitable dielectric or insulating material such as, but not limited to, silicon dioxide, SiOF, carbon-doped oxide, a glass or polymer material, and the like. An opening is formed in the dielectric layer. The opening exposes the conductive region 150 to which contact (indirect or direct) by a cobalt interconnect is ultimately made. In one embodiment, as depicted in FIG. 1A, the opening includes a lower opening 114 (e.g., a via hole or slot) with sidewalls 116 and an upper opening 110 (e.g., a metal line trench) with sidewalls 112, as is common in a dual damascene process. Although two openings are depicted (or a single opening with varying widths), it is to be appreciated that a single opening may instead be formed in the dielectric layer 102 (e.g., as is used in a single damascene approach where only a line or a via, but not both, is fabricated in a single operation). The opening or openings may be fabricated in dielectric layer 102 by well known lithography and etch processing techniques typically used in damascene and dual damascene type fabrication. Although only a single dielectric layer 102 is depicted, multiple layers of the same or differing dielectric materials may instead be used (e.g., a first dielectric layer having opening 114 therein, and a second dielectric layer having opening 110 therein). Additionally, in an embodiment, and as depicted in FIG. 1A, the dielectric layer 102 is formed on an etch stop layer 104 disposed on substrate 106. The etch stop layer 104 may be composed of a material such as silicon nitride or silicon oxynitride.
Referring to FIG. 1B, a Mn based adhesion layer 120 (e.g., an adhesion layer including Mn, MnN, MnSixNy and the like) is deposited. In other systems a seed layer may be formed on a TNT based adhesion layer. Seed layers, such as the seed layer disclosed in U.S. patent application Ser. No. 13/730,184, may facilitate formation of fill material. However, no such seed layer is needed in the embodiment of FIG. 1B. Nor is deposition of an alloy between an adhesion layer and a seed layer needed in the embodiment of FIG. 1B. Thus, as will be seen below, a Mn based adhesion layer may directly contact ILD 102 and directly contact cobalt fill (described below) without the need for a TNT based adhesion layer, any seed layer, or any alloy layer between a TNT based adhesion layer and a seed layer.
In FIG. 1B the Mn based adhesion layer 120 may be formed on a top surface 108 of the dielectric layer 102 as well as on the exposed top surface 118 of the substrate 106 (e.g., on conductive region 150). While 106 is referred to as “substrate” in another embodiment 106 could be a metal interconnect line, and the like. The Mn based adhesion layer 120 is also formed on the sidewalls 116 of the upper opening 114, and the sidewalls 112 of the lower opening 110.
Mn based adhesion layer 120 may be a conformal layer composed of a material including Mn, MnN, MnSixNy, MnSixOy (e.g., Mn2[SiO4], MnSiO3), other Mn based silicates, and the like. In an embodiment with the adhesion layer including Mn, the Mn content may include 90-100% Mn, where contamination (i.e., the remaining 10%) may include C, H, O and combinations thereof. In an embodiment with the adhesion layer including MnNx, the Mn may compose 0-50% Mn and the N may compose 0-50%. In an embodiment with the adhesion layer including MnNx, the adhesion layer may include Mn4N or Mn3N2. In an embodiment with the adhesion layer including MnNxSiy the Mn and N may include up to 50% with the Si constituting the remainder of the material. In an embodiment Mn, N, and Si may be included with one another in various adhesion multilayer combinations such as bilayers or trilayers (e.g., an adhesion layer includes one sublayer including MnN and another sublayer including Mn), (e.g., one adhesion layer including a sublayer having Mn and N and another sublayer including primarily Mn), (e.g., one adhesion layer including a sublayer including MnNxSiy and another sublayer including primarily Mn), and the like. As used herein, % of composition refers to atomic %.
In one embodiment, the Mn based adhesion layer 120 is formed to a thickness less than 3 nm, and typically 1 nm to 3 nm. In an embodiment thickness ranges for layer 120 (whether layer 120 includes a single layer or multiple sublayers such as one sublayer including MnN and another immediately adjacent sublayer including Mn) may range from 0.1 A to 50 A. In an embodiment layer 120 is between 10 A-20 A including, for example, 10, 12, 14, 16, 18, or 20 A.
In an embodiment, conductive region 150 includes at least some germanium (e.g., an exposed doped silicon germanium or doped germanium region, or a metal germanide region). In an embodiment, conductive region 150 includes at least some silicon (e.g., an exposed doped silicon region, or a metal silicide region).
The layer 120 may be deposited or grown by chemical vapor deposition (CVD), atomic layer deposition (ALD), physical vapor deposition (PVD), electroplating, electroless plating or other suitable process that deposits conformal thin films. In an embodiment, the layer 120 is deposited to form a high quality conformal layer that sufficiently and evenly covers all exposed surfaces within the openings and top surfaces. The adhesion layer may be formed, in one embodiment, by depositing the Mn based material at a slow deposition rate to evenly and consistently deposit the conformal adhesion layer. By forming the layer 120 in a conformal manner, compatibility of a subsequently formed fill material (such as cobalt) with the underlying structure may be improved. Specifically, the layer 120 can assist a deposition process by providing appropriate surface energetics for deposition thereon.
Deposition recipes of thin films using processes such as CVD, ALD, and PVD may vary depending on the desired process time, thickness, and quality of conformity. For example, utilizing CVD to deposit the adhesion layer 120 may create a conformal thin film layer more quickly than it would take an ALD process to deposit the same layer; however, the quality of the thin film deposited by the CVD process may be lower than the quality of the thin film deposited by the ALD process. In another embodiment, the layer 120 is deposited by a PVD process. The PVD process may be performed with an increased distance between the receiving substrate and the corresponding sputter target to form a highly conformal thin film.
Referring to FIG. 1C, a fill material 122 may be formed on the exposed surfaces of the adhesion layer 120, such that the fill material 122 completely fills the openings 110 and 114 and is formed on the top surface of the adhesion layer 120 and on the top surface 108 of dielectric 102. A seam 124 may be formed within the openings 110, 114 during deposition of the fill material 122. In an embodiment, the fill material 122 is composed of a dilute alloy composed of approximately 0.25-5% of a non-cobalt element (e.g., Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Tr, Re, or Pd) with the remainder approximately 95+% cobalt. Such a dilute alloy can involve, either during deposition or processing subsequent to deposition, migration of the non-cobalt species to surfaces of fill 122. The migration can provide a diffusion barrier for the cobalt (in addition to adhesion/barrier layer 120) and/or be used to enhance adhesion of the cobalt to layer 120. Grain boundaries within the cobalt may also be filled by the non-cobalt species. In one embodiment, the fill material 122 consists essentially only of cobalt. In another embodiment, the fill material 122 is at least 90% cobalt. In yet another embodiment, the fill material 122 is composed of at least 50% cobalt.
In an embodiment of the invention, the cobalt fill material 122 may be formed by a process such as, but not limited to, CVD, ALD, PVD, electroplating, or electro-less plating. In one embodiment, the process method used to form the fill material 122 may be different than the process used to form the layer 120. Furthermore, the layer 120 may be formed conformally, while the fill material 122 is formed in a non-conformal or bottom up approach. For example, the layer 120 may be formed by an ALD deposition process that forms a conformal layer on exposed surfaces of the receiving substrate, while the fill material 122 may be formed by PVD process that directionally sputters the fill material onto the surfaces of the layer 120, with greater deposition rates on flat horizontal surfaces as opposed to on more vertically oriented sidewall surfaces. In another example, the layer 120 may be formed by an ALD deposition process that forms a conformal layer on exposed surfaces of the receiving substrate, while the fill material 122 may be formed by an electroplating process that grows the fill material from the surfaces of the layer 120. In yet another example, the layer 120 may be formed by a CVD deposition process and the fill material may be formed by a PVD process.
In another embodiment, the layer 120 and the fill material 122 may be deposited by the same process (e.g. ALD, CVD, or PVD) but with different sets of deposition parameters, such as pressure, deposition rate, temperature, and the like. For example, the layer 120 and the fill material 122 may be deposited by a CVD process; however, the set of parameters used in the CVD processing for the adhesion layer 120, such as deposition pressure and temperature, may be different than the set of parameters used in the CVD processing for the fill material 122. In another example, the layer 120 and the fill material 122 are formed by a PVD process, but the layer 120 may be formed by a PVD process with a larger distance between the target and the receiving substrate than the PVD process used to form the fill material 122. In another embodiment, the metal fill material 122 is formed by a colummated PVD process, while the layer 120 is formed by a non-colummated PVD process. Or alternatively, the layer 120 is formed by an ALD process with a lower deposition rate than the deposition rate of the ALD process used to form the fill material 122 such that the layer 120 is formed more conformally than the fill material 122.
Referring to FIG. 1D, an anneal process may optionally be performed to reflow the deposited interconnect layer. The seam 124 from FIG. 1C may be removed subsequent to the anneal process, forming a solid structure within openings 110 and 114 within dielectric layer 102. The anneal process may help grow larger grain structures within the fill material 122, decreasing resistivity and expelling impurities from poor grain structures. In one embodiment, the anneal process uses gasses such as nitrogen, hydrogen, and argon. Furthermore, the anneal process may be performed at a temperature less than the thermal budget of the backend structures. For example, in one embodiment, the anneal process is performed at a temperature of room temperature to 300° C., 400° C., 500° C. or more. In another embodiment, the anneal process is performed at a temperature that is higher than the melting point of fill material 122 but lower than the thermal budget of the backend structures. In various embodiments the anneal process can vary widely (e.g., room temperature to 300° C., 400° C., 500° C. or more for interconnects ranging up to 900° C. for Front end applications). In such embodiments the anneal temperature is not higher than the melting point of the material being annealed as reflow of materials to be annealed can happen at much lower temperatures than the melting point for the material to be annealed. In such embodiments anneal temperatures for the material to be annealed may be less than the thermal budget of backend structures.
In yet another embodiment, a cycling technique may optionally be utilized to deposit the interconnect layer 122 (also referred to as fill layer 122) within the openings 114 and 110 without the seam 124. One cycle may involve one deposition of the fill material 122 and one anneal process. The anneal operation of one cycle may be set at a temperature and time duration to briefly reflow the fill material to improve step coverage. The deposition operation of one cycle may be a short deposition to deposit less fill material, such that several operations are required to completely fill the via and line openings 114 and 110. In one embodiment, less than 5 cycles are needed to deposit the fill material 122 without a seam 124.
Referring to FIG. 1E, a chemical mechanical planarization (CMP) process may be performed to remove the fill material 122 and the adhesion layer 120 disposed above the top surface 108 of the dielectric layer 102. In one embodiment, the CMP process may be a timed CMP process that is timed to stop at the top surface 108 of the line dielectric layer. In another embodiment, the CMP process may utilize the top surface 108 of the line dielectric layer as a stopping layer. Because the thickness of the fill material deposited above the top surface of the line dielectric layer may vary, utilizing the top surface 108 as a stopping layer may be a more reliable method. In an alternative embodiment, an etch process is used to remove the fill material 122 and the layer 120 disposed above the top surface 108 of the dielectric layer 102.
FIG. 2 illustrates a cross-section 200 of a portion of an IC structure with cobalt metal interconnects according to an embodiment of the present invention. A stack of dielectric layers 102 includes metal interconnects having adhesion layers 120 and metal (e.g., cobalt) fill layers 124. The portion of the IC structure shown in FIG. 2 can be a portion of a back end of line (BEOL) metallization structure as found, for example, in a microprocessor die or memory die.
FIG. 3 is a flow chart 300 illustrating a method of forming a cobalt metal interconnect according to an embodiment of the present invention. At 302, an opening is formed in a dielectric layer to expose a conductive region in a substrate (or on an additional metal interconnect). At 304, a Mn based adhesion/barrier layer is formed over the substrate, in contact with the conductive region, as well as over the dielectric layer and on sidewalls of the opening. At 306, a fill material is formed on the adhesion layer and fills the opening. The fill material is composed of a cobalt-based material. At 308, in an optional embodiment, heat is applied to reflow the fill material. At 310, the fill material and adhesion layer material disposed above an upper surface of the dielectric layer are removed.
In an embodiment a cobalt-based plug is formed in a lower portion (e.g., a via hole or slot) of an opening in a dielectric layer. A cobalt-based conductive line is then formed on the cobalt-based plug, in an upper portion (e.g., a metal line trench) of the opening, to form a cobalt interconnect.
For example, FIGS. 4A-4D illustrate a method of forming a cobalt metal interconnect according to an embodiment of the invention. The method begins with the same structure as illustrated and described in association with FIG. 1B, which includes adhesion layer 120 and will not be described again for the sake of brevity.
Referring to FIG. 4A, a plug 420 is formed on adhesion layer 120. In an embodiment, as depicted, the plug 420 is formed only within the lower opening 114 of the dielectric layer 102 such that the lower opening 114 is completely filled with plug material 420. In another embodiment, however, the top surface of the plug 420 may not be planar with the top surface of the lower opening 114. For example, the top surface of the plug 420 may be higher or lower than the top surface of the lower opening. The top surface of the plug 420 may be at, above, or below the horizontal top surface of layer 120 where layer 120 is horizontally disposed at the top of 114 and bottom of 110. As depicted in FIG. 4A the plug 420 has a top surface just below the horizontal top surface of layer 120 where layer 120 is horizontally disposed at the top of 114 and bottom of 110. In another embodiment, the top surface of the plug 420 may form a mushroom-like dome as a result of the growth profile during formation of plug 420.
In an embodiment, plug 420 is formed in a bottom-up approach. That is, the plug 420 is not formed by conformal deposition. For example, in one embodiment, the formation of plug 420 is performed by selectively depositing on, and then growing from, the surface of layer 120 directly above the conductive region 150. In a specific embodiment, the plug 420 is formed by electrolessly plating the plug material onto an exposed and compatible surface of layer 120. As an example, the conductive region 150 has an upper metalized or metal-containing surface such as cobalt (Co), copper (Cu) or Tungsten (W), and a cobalt-based plug 420 is formed by electroless deposition involving bottom-up growth from the layer 120 above the metalized or metal-containing surface of conductive region 150. In other embodiments, other suitable bottom-up fill and growth deposition approaches may be used such as, but not limited to, electroplating. A bottom-up fill approach is one in which a deposition rate is faster on planar or flat surfaces than on vertical sidewall surfaces.
The plug 420 may be a cobalt-based plug composed of at least 50% cobalt. In a particular embodiment, the plug 420 is composed of at least 90% cobalt. In any such cases, the non-cobalt remainder of the plug 420 composition, if any, can include one or more of Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Ir, Re, or Pd. In another embodiment, the plug 420 is composed of a cobalt-based compound or alloy material. For example, in one embodiment, the plug 420 is composed of a dilute alloy composed of approximately 0.25-5% of a non-cobalt element, such as those listed above, with the remainder approximately 95+% cobalt, is used. Such a dilute alloy can involve, either during deposition or processing subsequent to deposition, migration of the non-cobalt species to surfaces or interfaces of the cobalt plug. The migration can provide a diffusion barrier for the cobalt and/or be used to enhance adhesion of the cobalt to layer 120. Grain boundaries within the cobalt may also be filled by the non-cobalt species. In other embodiments, however, the plug 420 may include less than 50% cobalt, but still be referred to as a cobalt-based material. Exemplary embodiments of cobalt-based compound plug 420 materials include cobalt silicide or cobalt germanide plug materials. In a specific such embodiment, conductive region 150 includes at least some germanium (e.g., an exposed doped silicon germanium or doped germanium region, or a metal germanide region), and the plug 420 material is a cobalt germanide layer. In another specific such embodiment, conductive region 150 includes at least some silicon (e.g., an exposed doped silicon region, or a metal silicide region), and the plug 420 material is a cobalt silicide layer. Exemplary embodiments of cobalt-based alloy plug 420 materials include cobalt alloyed with one or more of the following: Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Ir, Re, or Pd. In the above described embodiments, the cobalt plug 420 may have a small grain structure. In an embodiment the above mentioned dopant (i.e., the 0.25-5% of a non-cobalt element) could be used without layer 120 so that cobalt would adhere directly to sidewall 116 as well as portion 150. In an embodiment the dopant essentially creates a layer 120 (an adhesion layer) by diffusion to interfaces such as interfaces at 150 and 116. In one embodiment the dopant forms a layer with portion 150. In another embodiment the dopant makes no layer formation with 150 so that the barrier is bottomless and plug 420 directly contacts area 150.
Referring to FIG. 4B, pretreatment 422 may optionally be performed on the exposed top surface of the plug 420 and layer 120. Pretreatment may be performed by a plasma process or ion bombardment to enhance adhesion of a later formed compound to the treated surface. In one embodiment, pretreatment may be performed in a plasma chamber at a temperature ranging from room temperature up to approximately 300° C., 400° C., 500° C. or more for approximately 20 to 60 seconds utilizing, for example, H2/He plasma, Ar plasma, NH3 plasma, N2 plasma, and/or combinations thereof. In another embodiment, the pretreatment may include Ar ion bombardment. It is to be understood that such pretreatment may be performed at other stages of the process flow (e.g., prior to forming plug materials). In an embodiment the pretreatment is performed before layer 120 is formed. However, in other embodiments a treatment of layer 120 can be quite beneficial to density without affecting dielectrics such as layer 102.
Referring to FIG. 4C, a fill material 424 is formed on the plug 420 and layer 120 within upper opening 110. A seam 426 may be formed within the upper opening 110 subsequent to deposition of the fill material 424. In one embodiment, fill material 424 is composed of a material comprising cobalt. For example, fill material may be composed of, and deposited by, materials and processes described above for fill material 122. Furthermore, the fill material 424 may differ from the plug 420 material by composition and/or deposition technique.
An anneal process may optionally be performed to reflow the deposited fill material 424. The seam 426 from FIG. 4C may be removed subsequent to the anneal process, forming a solid structure within openings 110 and 114 within dielectric layer 102. The anneal process may enable growth of larger grain structures within the fill material 424, decreasing resistivity and expelling impurities from otherwise poor grain structures. In one embodiment, the anneal process involves use of a forming gas such as, but not limited to, nitrogen, hydrogen, or argon. Furthermore, the anneal process may be performed at a temperature less than the thermal budget of the backend structures. For example, in one embodiment, the anneal process is performed at a temperature of room temperature to 300° C., 400° C., 500° C. or more. In another embodiment, the anneal process is performed at a temperature that is higher than the melting point of fill material 424 but lower than the thermal budget of the backend structures. In embodiments the anneal temperature is not higher than the melting point of the material being annealed as reflow of materials to be annealed can happen at much lower temperatures than the melting point for the material to be annealed. In such embodiments anneal temperatures for the material to be annealed may be less than the thermal budget of backend structures.
In yet another embodiment, a cycling technique is optionally utilized to deposit the fill material 424 within the opening 110 without the seam 426. One cycle may involve a single deposition of the fill material 424 and a single anneal process. The anneal operation of one cycle may be set at a temperature and time duration to briefly reflow the fill material 424 to improve step coverage. The deposition operation of one cycle may be a short deposition to deposit less fill material 424, such that several operations are required to completely fill the upper opening 110. In one embodiment, less than 5 cycles are needed to deposit the fill material 424 without a seam 426.
Referring to FIG. 4D, a CMP process may be performed to remove the fill material 424 above the top surface of layer 120 to provide the cobalt-based structure 428. In one embodiment, the CMP process may be a timed CMP process that is timed to stop at the top surface 108 of the line dielectric layer. In another embodiment, the CMP process may utilize the top surface 108 of the dielectric layer 102 as a stopping layer. Because the thickness of the fill material deposited above the top surface 108 of the dielectric layer 102 may vary, utilizing the top surface 108 as a stopping layer may be a more reliable method. In an alternative embodiment, an etch process is used to remove the fill material 424 above the top surface 108 of the dielectric layer 102.
In an embodiment a cobalt-based plug is formed in a lower portion (e.g., a via hole or slot) of an opening in a dielectric layer. An adhesive layer is then formed in an upper portion (e.g., a metal line trench) of the opening, over the cobalt-based plug. A cobalt-based conductive line is then formed on the portion of the adhesive layer that is directly over the plug to fill the upper portion of the opening to form a cobalt interconnect.
For example, FIGS. 5A-5D illustrate a method of forming a cobalt interconnect with a Mn based adhesion layer and a cobalt containing fill layer according to an embodiment of the invention. The method begins with the same structure as illustrated and described in association with FIG. 1A. Next, referring to FIG. 5A, the cobalt-based plug 420 is formed in the lower opening 114 of the dielectric layer 102, on the conductive region 150 of substrate 106. However, in another embodiment the adhesion layer be first formed along the side walls of dielectric 102 and/or on top of portion 150 before plug 420 is formed.
Referring to FIG. 5B, a Mn based adhesion layer 524 (e.g., Mn, MnN, MnSixNy MnSixOy (e.g., Mn2[SiO4], MnSiO3), other Mn based silicates, and the like) is deposited conformally with the structure of FIG. 5A. For example, in one embodiment, the Mn based adhesion layer 524 is formed in the upper opening 114 of the dielectric layer 102 and on the exposed plug 420. The composition and method of forming the adhesion layer 524 may be as described for adhesion layer 120 of FIG. 1B.
Referring to FIG. 5C, a fill material 526 is formed on the adhesion layer 524, within upper opening 110, and on the top surface 108 of the dielectric layer 102. A seam 528 may be formed within the opening 110 subsequent to deposition of the fill material 526. The composition and method of forming the fill material layer 526 may be as described for fill material 122 of FIG. 1C. Furthermore, although in an embodiment the plug 420 and the fill material 526 are all cobalt-based, they may all differ from one another by composition and/or deposition technique.
An anneal process may optionally be performed to reflow the deposited fill material 526. The seam 528 from FIG. 5C may be removed subsequent to the anneal process, forming a solid structure within opening 110 of dielectric layer 102. The anneal process may enable growth of larger grain structures within the fill material 526, decreasing resistivity and expelling impurities from poor grain structures. In one embodiment, the anneal process involves a use of gas such as, but not limited to, nitrogen, hydrogen, or argon. Furthermore, the anneal process may be performed at a temperature less than the thermal budget of the backend structures. For example, in one embodiment, the anneal process is performed at a temperature of room temperature to 300° C., 400° C., 500° C. or more. In another embodiment, the anneal process is performed at a temperature that is higher than the melting point of fill material 526 but lower than the thermal budget of the backend structures. In embodiments the anneal temperature is not higher than the melting point of the material being annealed as reflow of materials to be annealed can happen at much lower temperatures than the melting point for the material to be annealed. In such embodiments anneal temperatures for the material to be annealed may be less than the thermal budget of backend structures.
In yet another embodiment, a cycling technique may be utilized to deposit the fill material 526 within the opening 110 without the seam 528. One cycle may involve one deposition of the fill material 526 and one anneal process. The anneal operation of one cycle may be set at a temperature and time duration to briefly reflow the fill material to improve step coverage. The deposition operation of one cycle may be a short deposition to deposit less fill material, such that several operations are required to completely fill the opening 510. In one embodiment, less than 5 cycles are needed to deposit the fill material 526 without a seam 528.
Referring to FIG. 5D, a CMP process may be performed to remove the fill material 526 and the adhesion layer 524 disposed above the top surface 108 of the dielectric layer 102 to provide the cobalt-based structure 530. In one embodiment, the CMP process may be a timed CMP process that is timed to stop at the top surface 108 of the dielectric layer 102. In another embodiment, the CMP process may utilize the top surface 108 of the dielectric layer 102 as a stopping layer. Because the thickness of the fill material deposited above the top surface 108 of the dielectric layer 102 may vary, utilizing the top surface 108 as a stopping layer may be a more reliable method. In an alternative embodiment, an etch process is used to remove the fill material 526 and the layer 524 disposed above the top surface 108 of the dielectric layer 102.
FIG. 6 is a flow chart 600 illustrating a method of forming a cobalt metal interconnect according to an embodiment of the present invention. At 602, an opening is formed in a dielectric layer to expose a conductive region in a substrate. At 604, a cobalt plug is formed in a lower portion of the opening, in contact with the conductive region. At 605 a Mn based adhesion layer (e.g., Mn, MnN, MnSixNy and the like) is formed in the upper portion of the opening. At 606, a fill material is formed on the plug and adhesion layer to fill the opening. The fill material is composed of a cobalt-based material. At 608, in an optional embodiment, heat is applied to reflow the fill material. At 610, the fill material disposed above an upper surface of the dielectric layer is removed. In one such embodiment, the fill material and the plug both include cobalt, but have different material compositions. In another such embodiment, the fill material and the plug both include cobalt, but are formed by different deposition or growth techniques. In yet another such embodiment, the fill material and the plug both include cobalt, but have different material compositions and are formed by different deposition or growth techniques.
In an embodiment a metal gate electrode of a semiconductor device is composed, as least partially, of cobalt. That is, embodiments of the present invention need not be limited to forming cobalt-based interconnects.
In an example, FIG. 7 depicts a metal oxide semiconductor field effect transistor (MOS-FET) 700 fabricated on a substrate 702, in accordance with an embodiment of the present invention. A gate dielectric layer 704 is disposed above a channel region 706, and a gate electrode 708 is disposed above gate dielectric layer 704. Gate dielectric layer 704 and gate electrode 708 may be isolated by gate isolation spacers 710. Tip extensions 712 may be formed by implanting dopant atoms into substrate 702. Source and drain regions (e.g., strain-inducing source/drain regions 720) may be formed by selectively growing an epitaxial film in etched-out portions of substrate 702 and are doped either in situ or after epitaxial film growth, or both. In an embodiment tip extensions 712 may be formed simultaneously with the source and drain regions to create “epi” tip extensions. In typical MOS-FETs, the channel region 706 is composed of a semiconductor material, such as single crystalline silicon. In an embodiment, the gate electrode 708 is a metal gate electrode (e.g., the workfunction of the gate electrode 708 is based on a metal or metal-containing layer). In one such embodiment, the metal gate electrode is composed, at least somewhat, of cobalt. For example, in a specific embodiment, the metal gate electrode 708 includes a Mn based adhesion layer (e.g., Mn, MnN, MnSixNy and the like as described above) 708A and a cobalt fill metal thereon 708B. For example, the cobalt-based material or film 708B is composed of at least 90% cobalt. In a specific such embodiment, the cobalt-based material or film 708B is composed of a dilute alloy having approximately 0.25-5% of a non-cobalt element, with the remainder approximately 95+% cobalt.
Also, it is to be understood that the MOS-FET 700 may be a planar device or include a three-dimensional body (e.g., as in a double-gate, fin-fet, tri-gate, or gate-all-around transistor). As such, the substrate 702 may be a planar substrate or depict a cross-sectional view of a three-dimensional body. Finally, it is to be understood that only several features of the MOS-FET 700 are depicted for clarity. It is to be understood that isolation layers, e.g., interlayer dielectric layer 740, and metallization routing layers used for integrating MOS-FET 700 into, e.g., an integrated circuit may also be included, as is well known in the art.
Various cobalt based embodiments may be included in, for example, a mobile computing node such as a cellular phone, Smartphone, tablet, Ultrabook®, notebook, laptop, personal digital assistant, and mobile processor based platform.
Example 1 includes a dielectric layer disposed on a substrate; an opening in the dielectric layer, wherein the opening has sidewalls and exposes a conductive region of at least one of the substrate and an additional interconnect structure; an adhesive layer, comprising manganese, disposed over the conductive region and on the sidewalls; and a fill material, comprising cobalt, within the opening and on a surface of the adhesion layer. In an embodiment the dielectric directly contacts the substrate but in other embodiments one or more layers are between the dielectric and the substrate. In an embodiment the additional interconnect structure may include a via, a trench filled with metal (interconnect line), and the like. While examples have included dual damascene approaches the opening addressed immediately above need not have varying widths such as those found in, for example, FIG. 1 or the line over via commonly associated with dual damascene processes. As explained below, saying “an adhesive layer, comprising manganese, disposed over the conductive region” does not necessarily mean manganese will be in the portion of the adhesive layer that is over the conductive region. For example, “an adhesive layer, comprising manganese, disposed over the conductive region” is still satisfied if manganese in not in the adhesion layer portion over the conductive region but is in the adhesion layer that is on the sidewalls.
In example 2 the subject matter of Example 1 can optionally include wherein the fill material is composed of at least 50 atomic % cobalt.
In example 3 the subject matter of Examples 1-2 can optionally include wherein the adhesion layer includes at least one element selected from a group consisting of silicon, nitrogen, carbon, hydrogen, and oxygen.
In example 4 the subject matter of Examples 1-3 can optionally include wherein the adhesion layer is no thicker than 50 A.
In example 5 the subject matter of Examples 1-4 can optionally include wherein the adhesion layer directly contacts the fill material.
In example 6 the subject matter of Examples 1-5 can optionally include wherein the adhesion layer directly contacts the conductive region. In another version of example 6 the subject matter of Examples 1-5 can optionally include wherein the adhesion layer directly contacts the conductive region and the dielectric layer.
In example 7 the subject matter of Examples 1-6 can optionally include wherein the fill material includes at least one element selected from a group consisting of silicon and manganese. This may be due to silicon or manganese migrating from the adhesion layer into the fill material and/or this may be due to the fill material being deposited with silicon and/or manganese already present in addition to cobalt.
In example 8 the subject matter of Examples 1-7 can optionally include wherein the fill material includes manganese that directly contacts the manganese included in the adhesion layer. The cobalt fill layer may include manganese and/or silicon. This may not be visually evident with a scanning electron microscopic (SEM) image or transmission electron microscopic (TEM) image but other detection methods may indicate the presence of manganese and/or silicon in the cobalt fill layer. The manganese and/or silicon may be present along the outer edge of the cobalt fill layer because the manganese and/or silicon may have migrated from the adhesion layer to the cobalt fill layer. For example, there is solubility between manganese and cobalt and the manganese from the adhesion layer, in contact with the fill layer, may have mixed with the cobalt fill layer. This migration helps the cobalt fill adhere to the adhesion layer and also allows the adhesion layer to function as a wetting layer for the cobalt fill layer. A wetting layer includes an initial layer of atoms epitaxially grown on a surface upon which self-assembled quantum dots or thin films are created.
An example concerns TEM energy dispersive x-rays (EDX). The small TEM probe electrons interact with material being imaged and that material emits x-rays from the different elements present within one pixel of the image. This allows for elemental maps of the image. Higher sensitivity is achieved by taking line scans across structures with more dwell time (e.g., across a via rather than every pixel in image). In an embodiment (example 8a) if there is manganese in the cobalt fill layer, the manganese may migrate to the adhesion layer/fill material interface improving adhesion. EDX may then detect manganese in the cobalt fill layer and around the adhesion layer/fill layer edge/interface. In an embodiment (example 8b) if manganese is at the adhesion layer/fill layer interface it might diffuse into cobalt and other interfaces (e.g., like top layer after CMP has been performed). Such a case would be detected in both the fill layer and around edges/interfaces. In an embodiment, if no diffusion of manganese occurs the manganese may only be in the fill layer of example 8a and at the interface in example 8b. In embodiment, if manganese is present both in 120 and the fill layer, the manganese may be detected in both areas. Diffusion may change relative concentrations/compositions and ability to adhere or wet so the processes of examples 8a and/or 8b take place but manganese may still be detectable in both scenarios in an embodiment.
An embodiment is similar to the embodiment of FIG. 5D but includes a dielectric capping layer/etch stop (e.g., similar or directly equal to the materials and structure of element 104) that extends from the top surface on the left dielectric portion 102, across the tops of 524 and 526, and then across the top of the right dielectric portion 102. This caps the polished metal and is later broken through by etch to form a via for a next layer just like shown with a via going through space 116 and through layer 104 in FIG. 5D. The cap protects the lines (e.g., material 530) hermetically and manganese (which in this embodiment is mixed and present in cobalt fill 530) would diffuse to the capping layer to improve adhesion of dielectric capping layer/etch stop to the cobalt 530 (e.g., by silicate formation). The manganese may diffuse from layer 120 or diffuse from an alloy cobalt fill layer that was deposited already including some amount of manganese. The dielectric cap may be deposited at a high temperature and so that temperature drives the thermal diffusion of manganese from within the layers 120 and/or 530 and/or 420 up to the top of the trench 110. The manganese could be detected at the new dielectric cap/layer 530 interface by TEM EDX methods and the like.
In another example the subject matter of Examples 1-8 can optionally include a dielectric layer formed directly on top of the adhesive layer and the fill material, the dielectric layer comprising manganese; wherein the fill material also includes manganese. The manganese may have migrated from the adhesion layer and/or adhesion layer into the dielectric.
In another example 9 the subject matter of Examples 1-8 can optionally include a dielectric layer formed directly on top of the fill material, the dielectric layer comprising a first material; wherein the first material is also included in the fill material and the first material is selected from the group comprising Al, Ni, Cu, Ag, Au, Mn, Ti, V, Cr, Fe, Ta, W, Ru, P, B, C, N, Si, Ge, Mg, Zn, Rh, Pt, Cd, Hf, In, Sn, C, O, Be, Ca, Zr, Nb, Mo, Ir, Re, and Pd. The material may have migrated into the dielectric from the fill material.
In example 9 the subject matter of Examples 1-8 can optionally include wherein (a) the adhesion layer includes a first portion directly contacting the dielectric layer and second portion directly contacting the conductive region, and (b) the first portion includes a higher atomic % manganese than the second portion. Manganese bonds well with the dielectric as well as the cobalt fill layer. On the adhesion layer/dielectric interface manganese forms MnSixOy (e.g., Mn2[SiO4], MnSiO3), and other Mn based silicates. The silicate has the function of a diffusion barrier, preventing diffusion of cobalt into the surrounding dielectric and will also will have adhesive/wetting functions making sure cobalt adheres well to the dielectric. On the adhesion layer/cobalt fill layer interface metal-to-metal bonding occurs (e.g., between the manganese and cobalt) and results in some alloying at the interface. This bonding gives an additional benefit that manganese will dissolve at the via bottom (where in an embodiment the adhesion layer directly contacts the metal fill layer and possibly another interconnect or a conduction substrate portion). This lowers electrical resistance in the via at the adhesion layer interface to the other interconnect or conductive portion of the substrate. For example, the manganese from 120 that forms at the via bottom initially starts out as thick as similar 120 portions on ILD. After anneal the manganese may diffuse completely into the cobalt fill or may remain in layer 120. The amount that remains may vary. Thus, in some embodiments the adhesion layer near the bottom of the via may have little to no manganese remaining after device processing is complete.
In example 10 the subject matter of Examples 1-9 can optionally include wherein the fill material consists essentially of cobalt. In another example the subject matter of Examples 1-9 can optionally include wherein the adhesion layer does not completely separate the conductive region from the fill material and the fill material directly contacts a portion of the conductive region. Thus, by TEM EDX detection or otherwise (regardless of whether the adhesion layer completely or incompletely separates the fill material from the conductive region); there may be areas where no manganese in an adhesion layer separates the fill material from the conductive region. This may be deemed an area where there is no adhesion layer present that can be detected (regardless of whether the layer is there or not) and thus the “adhesion layer does not completely separate the conductive region from the fill material and the fill material directly contacts a portion of the conductive region.” For example, see FIG. 8 (which has identifiers (e.g., 102, 110, etc.) that correspond to FIG. 1E).
Example 11 includes a method of forming a metal interconnect structure, comprising: forming an opening in a dielectric layer on a substrate, wherein the opening exposes a conductive region of at least one of the substrate and an additional interconnect structure; forming an adhesion layer, comprising manganese, in the opening and on the conductive region and also on the sidewalls; forming a fill material, comprising cobalt, within the opening and on a surface of the adhesion layer; and removing portions of the fill material and the adhesion layer above an upper surface of the dielectric layer.
In example 12 the subject matter of Example 11 can optionally include wherein the adhesion layer includes at least one element selected from a group consisting of silicon, nitrogen, carbon, hydrogen, and oxygen.
In example, 13 the subject matter of examples 11-12 can optionally include wherein the adhesion layer directly contacts the fill material.
In example 14 the subject matter of Examples 11-13 can optionally include wherein the adhesion layer directly contacts the conductive region.
In example 15 the subject matter of Examples 13-14 can optionally include wherein (a) the adhesion layer includes a first portion directly contacting the dielectric layer and second portion directly contacting the conductive region, and (b) the first portion includes a higher atomic % manganese than the second portion.
In example 16 the subject matter of Examples 13-15 can optionally include forming the adhesion layer with a conformal method and forming the fill layer with a method that is non-conformal.
In another example the subject matter of Examples 13-15 can optionally include forming a dielectric layer directly on top of the adhesive layer and the fill material, the dielectric layer comprising manganese; wherein the fill material also includes manganese. Again, the manganese may have migrated into the dielectric from the adhesive layer and/or fill material.
Example 17 includes a metal interconnect structure, comprising: a dielectric layer disposed on a substrate; an opening disposed in the dielectric layer and exposing a conductive region in at least one of the substrate and an additional interconnect structure, the opening having a lower portion and an upper portion; a plug comprising cobalt disposed in the lower portion of the opening; an adhesive layer, comprising manganese, disposed on the sidewalls; and a fill material comprising cobalt disposed on the plug and in the upper portion of the opening.
In example 18 the subject matter of Example 17 can optionally include wherein the adhesive layer directly contacts the plug and the dielectric.
In example 19 the subject matter of Examples 17-18 can optionally include wherein the adhesive layer is between the plug and the fill material.
In example 20 the subject matter of Examples 17-19 can optionally include wherein the plug and fill material have different compositions.
In another example 20 the subject matter of Examples 17-19 can optionally include a dielectric layer formed directly on top of the adhesive layer and the fill material, the dielectric layer comprising manganese; wherein the fill material also includes manganese. The manganese may have migrated from the adhesion layer into the dielectric.
The foregoing description of the embodiments of the invention has been presented for the purposes of illustration and description. It is not intended to be exhaustive or to limit the invention to the precise forms disclosed. This description and the claims following include terms, such as left, right, top, bottom, over, under, upper, lower, first, second, etc. that are used for descriptive purposes only and are not to be construed as limiting. For example, terms designating relative vertical position refer to a situation where a device side (or active surface) of a substrate or integrated circuit is the “top” surface of that substrate; the substrate may actually be in any orientation so that a “top” side of a substrate may be lower than the “bottom” side in a standard terrestrial frame of reference and still fall within the meaning of the term “top.” The term “on” as used herein (including in the claims) does not indicate that a first layer “on” a second layer is directly on and in immediate contact with the second layer unless such is specifically stated; there may be a third layer or other structure between the first layer and the second layer on the first layer. The embodiments of a device or article described herein can be manufactured, used, or shipped in a number of positions and orientations. Persons skilled in the relevant art can appreciate that many modifications and variations are possible in light of the above teaching. Persons skilled in the art will recognize various equivalent combinations and substitutions for various components shown in the Figures. It is therefore intended that the scope of the invention be limited not by this detailed description, but rather by the claims appended hereto.

Claims (18)

What is claimed is:
1. A metal interconnect structure, comprising:
a dielectric layer on a substrate;
an opening in the dielectric layer, wherein the opening: (a)(i) has sidewalls, (a)(ii) extends from a top of the dielectric layer to a bottom of the dielectric layer, and (a)(iii) exposes a conductive region of at least one of the substrate and an additional interconnect structure;
an adhesion layer, comprising manganese, on: (b)(i) the conductive region and a bottom of the opening, and (b)(iii) the sidewalls; and
a fill material, comprising cobalt, within the opening and directly contacting the adhesion layer;
wherein the fill material is composed of at least 90 atomic % cobalt, and substantially fills the opening from the bottom of the opening to a top of the opening.
2. The structure of claim 1, wherein the adhesion layer includes at least one element selected from a group consisting of silicon, nitrogen, carbon, hydrogen, and oxygen and the adhesion layer is no thicker than 50 A and no thinner than 1 A.
3. The structure of claim 1, wherein the adhesion layer directly contacts both the conductive region and the dielectric layer.
4. The structure of claim 3, wherein the fill material includes manganese that directly contacts the manganese included in the adhesion layer.
5. The structure of claim 1, wherein the fill material includes at least one element selected from a group consisting of silicon and manganese.
6. The structure of claim 1, wherein (a) the adhesion layer includes a first portion directly contacting the dielectric layer and a second portion directly contacting the conductive region, and (b) the first portion includes a higher atomic % manganese than the second portion.
7. The structure of claim 6 wherein the adhesion layer does not completely separate the conductive region from the fill material and the fill material directly contacts a portion of the conductive region.
8. The structure of claim 1, wherein a portion of the fill material, which is centrally located within the opening, consists essentially of cobalt.
9. The structure of claim 1 comprising an additional dielectric layer formed directly on top of the adhesion layer and the fill material, the additional dielectric layer comprising manganese; wherein the fill material also includes manganese.
10. A metal interconnect structure, comprising:
a dielectric layer on a substrate;
an opening included in the dielectric layer, wherein the opening: (a)(i) has sidewalls, (a)(ii) extends from a top of the dielectric layer to a bottom of the dielectric layer, and (a)(iii), exposes a conductive region in at least one of the substrate and an additional interconnect structure,
a plug, comprising cobalt, included in the opening;
an adhesion layer, comprising manganese, on (b)(i) the conductive region and a bottom of the opening, and (b)(ii) the sidewalls; and
a fill material comprising cobalt that is on the plug, within the opening, and directly contacting the adhesion layer;
wherein the fill material is composed of at least 90 atomic % cobalt, and substantially fills the opening from the plug to a top of the opening.
11. The structure of claim 10, wherein the adhesion layer directly contacts the plug and the dielectric.
12. The interconnect structure of claim 10 comprising an additional dielectric layer formed directly on top of the adhesion layer and the fill material, the additional dielectric layer comprising manganese; wherein the fill material also includes manganese.
13. The structure of claim 1, wherein the conductive region includes the substrate.
14. The structure of claim 13, wherein the conductive region includes a diffusion region.
15. The structure of claim 1, wherein:
the top of the opening is wider than the bottom of the opening.
16. The structure of claim 1 wherein there is no seed layer between the adhesion layer and the fill material.
17. The structure of claim 10 wherein there is no seed layer between the adhesion layer and the fill material.
18. The structure of claim 1 wherein the fill material substantially fills a via, included in the opening, from a bottom portion of the via to a top portion of the via.
US14/137,526 2013-12-20 2013-12-20 Cobalt based interconnects and methods of fabrication thereof Active 2033-12-29 US9997457B2 (en)

Priority Applications (14)

Application Number Priority Date Filing Date Title
US14/137,526 US9997457B2 (en) 2013-12-20 2013-12-20 Cobalt based interconnects and methods of fabrication thereof
TW103139777A TWI610398B (en) 2013-12-20 2014-11-17 Cobalt based interconnects and methods of fabrication thereof
PCT/IB2015/000198 WO2015092780A1 (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof
CN201580002697.9A CN106068549B (en) 2013-12-20 2015-02-21 Cobalt-based interconnects and methods of making the same
JP2016526908A JP6652245B2 (en) 2013-12-20 2015-02-21 Cobalt-based interconnects and methods for their manufacture
EP21170662.7A EP3907755A3 (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof
EP15729733.4A EP3084810B1 (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof
KR1020237012227A KR20230054492A (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof
KR1020167013373A KR20170110000A (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof
CN202210020138.2A CN114361132A (en) 2013-12-20 2015-02-21 Cobalt-based interconnects and methods of making the same
KR1020217040015A KR102526836B1 (en) 2013-12-20 2015-02-21 Cobalt based interconnects and methods of fabrication thereof
US15/925,009 US10700007B2 (en) 2013-12-20 2018-03-19 Cobalt based interconnects and methods of fabrication thereof
US16/881,530 US11328993B2 (en) 2013-12-20 2020-05-22 Cobalt based interconnects and methods of fabrication thereof
US17/718,038 US11862563B2 (en) 2013-12-20 2022-04-11 Cobalt based interconnects and methods of fabrication thereof

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US14/137,526 US9997457B2 (en) 2013-12-20 2013-12-20 Cobalt based interconnects and methods of fabrication thereof

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US15/925,009 Continuation US10700007B2 (en) 2013-12-20 2018-03-19 Cobalt based interconnects and methods of fabrication thereof

Publications (2)

Publication Number Publication Date
US20150179579A1 US20150179579A1 (en) 2015-06-25
US9997457B2 true US9997457B2 (en) 2018-06-12

Family

ID=53400881

Family Applications (4)

Application Number Title Priority Date Filing Date
US14/137,526 Active 2033-12-29 US9997457B2 (en) 2013-12-20 2013-12-20 Cobalt based interconnects and methods of fabrication thereof
US15/925,009 Active US10700007B2 (en) 2013-12-20 2018-03-19 Cobalt based interconnects and methods of fabrication thereof
US16/881,530 Active US11328993B2 (en) 2013-12-20 2020-05-22 Cobalt based interconnects and methods of fabrication thereof
US17/718,038 Active US11862563B2 (en) 2013-12-20 2022-04-11 Cobalt based interconnects and methods of fabrication thereof

Family Applications After (3)

Application Number Title Priority Date Filing Date
US15/925,009 Active US10700007B2 (en) 2013-12-20 2018-03-19 Cobalt based interconnects and methods of fabrication thereof
US16/881,530 Active US11328993B2 (en) 2013-12-20 2020-05-22 Cobalt based interconnects and methods of fabrication thereof
US17/718,038 Active US11862563B2 (en) 2013-12-20 2022-04-11 Cobalt based interconnects and methods of fabrication thereof

Country Status (7)

Country Link
US (4) US9997457B2 (en)
EP (2) EP3907755A3 (en)
JP (1) JP6652245B2 (en)
KR (3) KR102526836B1 (en)
CN (2) CN106068549B (en)
TW (1) TWI610398B (en)
WO (1) WO2015092780A1 (en)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US10340183B1 (en) 2018-01-02 2019-07-02 Globalfoundries Inc. Cobalt plated via integration scheme
US11292938B2 (en) 2019-09-11 2022-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of selective chemical mechanical polishing cobalt, zirconium oxide, poly-silicon and silicon dioxide films
US11328993B2 (en) * 2013-12-20 2022-05-10 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US11846018B2 (en) 2021-02-08 2023-12-19 Macdermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US10068845B2 (en) * 2014-06-16 2018-09-04 Intel Corporation Seam healing of metal interconnects
US9601430B2 (en) * 2014-10-02 2017-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9722038B2 (en) * 2015-09-11 2017-08-01 International Business Machines Corporation Metal cap protection layer for gate and contact metallization
US9484255B1 (en) * 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
TWI637899B (en) * 2015-12-15 2018-10-11 村田製作所股份有限公司 Microelectromechanical device and method for manufacturing it
US10446496B2 (en) 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9837350B2 (en) * 2016-04-12 2017-12-05 International Business Machines Corporation Semiconductor interconnect structure with double conductors
US10438849B2 (en) * 2016-04-25 2019-10-08 Applied Materials, Inc. Microwave anneal to improve CVD metal gap-fill and throughput
US10438847B2 (en) * 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US9799555B1 (en) * 2016-06-07 2017-10-24 Globalfoundries Inc. Cobalt interconnects covered by a metal cap
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
US10079208B2 (en) 2016-07-28 2018-09-18 Globalfoundries Inc. IC structure with interface liner and methods of forming same
US10049974B2 (en) * 2016-08-30 2018-08-14 International Business Machines Corporation Metal silicate spacers for fully aligned vias
US9953864B2 (en) 2016-08-30 2018-04-24 International Business Machines Corporation Interconnect structure
JP6559107B2 (en) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 Film forming method and film forming system
US9899317B1 (en) 2016-09-29 2018-02-20 International Business Machines Corporation Nitridization for semiconductor structures
US9741609B1 (en) 2016-11-01 2017-08-22 International Business Machines Corporation Middle of line cobalt interconnection
US10128151B2 (en) 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
WO2018125175A1 (en) * 2016-12-30 2018-07-05 Intel Corporation Self-aligned hard masks with converted liners
US10177030B2 (en) * 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
US9805972B1 (en) * 2017-02-20 2017-10-31 Globalfoundries Inc. Skip via structures
KR102292645B1 (en) * 2017-03-09 2021-08-24 삼성전자주식회사 Integrated circuit device
US10109490B1 (en) * 2017-06-20 2018-10-23 Globalfoundries Inc. Cobalt interconnects formed by selective bottom-up fill
US10553481B2 (en) * 2017-08-31 2020-02-04 Taiwan Semiconductor Manufacturing Co., Ltd. Vias for cobalt-based interconnects and methods of fabrication thereof
US20190096820A1 (en) * 2017-09-28 2019-03-28 Taiwan Semiconductor Manufacturing Co., Ltd. Hardened interlayer dielectric layer
US11348828B2 (en) * 2017-11-23 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming the same
US10541199B2 (en) 2017-11-29 2020-01-21 International Business Machines Corporation BEOL integration with advanced interconnects
US10847413B2 (en) 2017-11-30 2020-11-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming contact plugs for semiconductor device
US20190363048A1 (en) * 2018-05-22 2019-11-28 Lam Research Corporation Via prefill in a fully aligned via
US11501999B2 (en) * 2018-09-28 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt fill for gate structures
US10971398B2 (en) * 2018-10-26 2021-04-06 International Business Machines Corporation Cobalt interconnect structure including noble metal layer
CN111261574A (en) * 2018-12-03 2020-06-09 长鑫存储技术有限公司 Semiconductor structure and manufacturing method thereof
FR3092589A1 (en) 2019-02-08 2020-08-14 Aveni Electroplating of a cobalt alloy and use in microelectronics
WO2020161256A1 (en) 2019-02-08 2020-08-13 Aveni Electrodeposition of a cobalt or copper alloy, and use in microelectronics
US10818589B2 (en) 2019-03-13 2020-10-27 International Business Machines Corporation Metal interconnect structures with self-forming sidewall barrier layer
US11189589B2 (en) * 2019-09-25 2021-11-30 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure with raised implanted region and manufacturing method thereof
US11152257B2 (en) * 2020-01-16 2021-10-19 International Business Machines Corporation Barrier-less prefilled via formation
US11581258B2 (en) 2021-01-13 2023-02-14 Nanya Technology Corporation Semiconductor device structure with manganese-containing interconnect structure and method for forming the same
US20240063285A1 (en) * 2022-08-22 2024-02-22 Nanya Technology Corporation Semiconductor device with assistant cap and method for fabricating the same

Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US20050095852A1 (en) * 2003-10-29 2005-05-05 International Business Machines Field effect transistor with electroplated metal gate
US20070120242A1 (en) * 2005-11-25 2007-05-31 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
JP2008060415A (en) 2006-08-31 2008-03-13 Toshiba Corp Semiconductor device
US20080254232A1 (en) * 2007-04-09 2008-10-16 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
US7586196B2 (en) 2003-06-26 2009-09-08 Intel Corporation Apparatus for an improved air gap interconnect structure
JP2010147312A (en) 2008-12-19 2010-07-01 Tohoku Univ Copper wiring, semiconductor device and copper wiring forming method
US20100164119A1 (en) * 2008-12-26 2010-07-01 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US7867891B2 (en) 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
US20110027985A1 (en) 2005-08-10 2011-02-03 Kazumichi Tsumura Semiconductor device having aerial wiring and manufacturing method thereof
US20110062587A1 (en) * 2009-09-16 2011-03-17 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US20110101529A1 (en) 2009-10-29 2011-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US20110223772A1 (en) * 2003-10-20 2011-09-15 Mayer Steven T Fabrication of semiconductor interconnect structure
US20110266676A1 (en) 2010-05-03 2011-11-03 Toshiba America Electronic Components, Inc. Method for forming interconnection line and semiconductor structure
US20120077053A1 (en) * 2010-09-24 2012-03-29 Akolkar Rohan N Barrier layers
US20120141667A1 (en) 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120319279A1 (en) 2011-06-16 2012-12-20 Kabushiki Kaisha Toshiba Semiconductor device and method of producing the same
US20130026637A1 (en) * 2011-07-25 2013-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a field effect transistor
US20130178058A1 (en) 2010-01-26 2013-07-11 Toshiba America Electronic Components, Inc. INTERCONNECT STRUCTURE EMPLOYING A Mn-GROUP VIIIB ALLOY LINER
WO2013132749A1 (en) 2012-03-08 2013-09-12 東京エレクトロン株式会社 Semiconductor device, semiconductor device manufacturing method, and semiconductor manufacturing apparatus
US20140183743A1 (en) 2012-12-27 2014-07-03 Tokyo Electron Limited Manganese metal film forming method, processing system, electronic device manufacturing method and electronic device
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20140287577A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
RU2374359C2 (en) * 2003-05-09 2009-11-27 Басф Акциенгезельшафт Compositions for de-energised deposition of triple materials for semiconsuctor industry
JP5096669B2 (en) * 2005-07-06 2012-12-12 ルネサスエレクトロニクス株式会社 Manufacturing method of semiconductor integrated circuit device
JP4529880B2 (en) * 2005-11-21 2010-08-25 ソニー株式会社 Semiconductor device and manufacturing method of semiconductor device
JP5076482B2 (en) * 2006-01-20 2012-11-21 富士通セミコンダクター株式会社 Manufacturing method of semiconductor device
US7964496B2 (en) * 2006-11-21 2011-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Schemes for forming barrier layers for copper in interconnect structures
JP2009147137A (en) * 2007-12-14 2009-07-02 Toshiba Corp Semiconductor device and method of fabricating the same
JP5358950B2 (en) * 2008-01-07 2013-12-04 富士通セミコンダクター株式会社 Semiconductor device manufacturing method and semiconductor device
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US8013445B2 (en) * 2008-02-29 2011-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Low resistance high reliability contact via and metal line structure for semiconductor device
US8531033B2 (en) 2009-09-07 2013-09-10 Advanced Interconnect Materials, Llc Contact plug structure, semiconductor device, and method for forming contact plug
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
JP2014062312A (en) * 2012-09-24 2014-04-10 Tokyo Electron Ltd Formation method of manganese silicate film, processing system, semiconductor device and production method of semiconductor device
US9362228B2 (en) * 2013-10-22 2016-06-07 Globalfoundries Inc. Electro-migration enhancing method for self-forming barrier process in copper metalization
US9997457B2 (en) * 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof

Patent Citations (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US7586196B2 (en) 2003-06-26 2009-09-08 Intel Corporation Apparatus for an improved air gap interconnect structure
US20110223772A1 (en) * 2003-10-20 2011-09-15 Mayer Steven T Fabrication of semiconductor interconnect structure
US20050095852A1 (en) * 2003-10-29 2005-05-05 International Business Machines Field effect transistor with electroplated metal gate
US20110027985A1 (en) 2005-08-10 2011-02-03 Kazumichi Tsumura Semiconductor device having aerial wiring and manufacturing method thereof
US20070120242A1 (en) * 2005-11-25 2007-05-31 Samsung Electronics Co., Ltd. Semiconductor device and method of fabricating the same
JP2008060415A (en) 2006-08-31 2008-03-13 Toshiba Corp Semiconductor device
US20080254232A1 (en) * 2007-04-09 2008-10-16 President And Fellows Of Harvard College Cobalt nitride layers for copper interconnects and methods for forming them
US7867891B2 (en) 2008-12-10 2011-01-11 Intel Corporation Dual metal interconnects for improved gap-fill, reliability, and reduced capacitance
JP2010147312A (en) 2008-12-19 2010-07-01 Tohoku Univ Copper wiring, semiconductor device and copper wiring forming method
US20100164119A1 (en) * 2008-12-26 2010-07-01 Fujitsu Microelectronics Limited Semiconductor device and method of manufacturing the same
US20110062587A1 (en) * 2009-09-16 2011-03-17 International Business Machines Corporation Large grain size conductive structure for narrow interconnect openings
US20110101529A1 (en) 2009-10-29 2011-05-05 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier layer for copper interconnect
US20130178058A1 (en) 2010-01-26 2013-07-11 Toshiba America Electronic Components, Inc. INTERCONNECT STRUCTURE EMPLOYING A Mn-GROUP VIIIB ALLOY LINER
US20110266676A1 (en) 2010-05-03 2011-11-03 Toshiba America Electronic Components, Inc. Method for forming interconnection line and semiconductor structure
US20120141667A1 (en) 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US8508018B2 (en) 2010-09-24 2013-08-13 Intel Corporation Barrier layers
US20120077053A1 (en) * 2010-09-24 2012-03-29 Akolkar Rohan N Barrier layers
US20120319279A1 (en) 2011-06-16 2012-12-20 Kabushiki Kaisha Toshiba Semiconductor device and method of producing the same
US20130026637A1 (en) * 2011-07-25 2013-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Metal gate electrode of a field effect transistor
WO2013132749A1 (en) 2012-03-08 2013-09-12 東京エレクトロン株式会社 Semiconductor device, semiconductor device manufacturing method, and semiconductor manufacturing apparatus
US20140183743A1 (en) 2012-12-27 2014-07-03 Tokyo Electron Limited Manganese metal film forming method, processing system, electronic device manufacturing method and electronic device
US20140183738A1 (en) * 2012-12-28 2014-07-03 Christopher J. Jezewski Cobalt based interconnects and methods of fabrication thereof
US20140287577A1 (en) * 2013-03-15 2014-09-25 Applied Materials, Inc. Methods for producing interconnects in semiconductor devices

Non-Patent Citations (5)

* Cited by examiner, † Cited by third party
Title
European Patent Office, Extended European Search Report dated Jul. 31, 2017, in European Patent Application No. 15729733.
International Searching Authority, "Notification of Transmittal of the International Search Report and the Written Opinion of the International Searching Authority," dated May 29, 2015, in International application No. PCT/IB2015/000198.
Taiwan Intellectual Property Office, Decision of Rejection dated Sep. 7, 2016, in Taiwanese Patent Application No. 103139777.
Taiwan Intellectual Property Office, Office Action dated Dec. 29, 2015 in Taiwan Patent Application No. 103139777. (Redacted).
U.S. Appl. No. 13/730,184, filed Dec. 28, 2012 entitled "Cobalt Based Interconnects and Methods of Fabrication Thereof" by Jezewski, et al.

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11328993B2 (en) * 2013-12-20 2022-05-10 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US11862563B2 (en) 2013-12-20 2024-01-02 Tahoe Research, Ltd. Cobalt based interconnects and methods of fabrication thereof
US20160276156A1 (en) * 2015-03-16 2016-09-22 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing process thereof
US10340183B1 (en) 2018-01-02 2019-07-02 Globalfoundries Inc. Cobalt plated via integration scheme
US11292938B2 (en) 2019-09-11 2022-04-05 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method of selective chemical mechanical polishing cobalt, zirconium oxide, poly-silicon and silicon dioxide films
US11846018B2 (en) 2021-02-08 2023-12-19 Macdermid Enthone Inc. Method and wet chemical compositions for diffusion barrier formation

Also Published As

Publication number Publication date
WO2015092780A1 (en) 2015-06-25
EP3084810B1 (en) 2021-04-28
EP3084810A1 (en) 2016-10-26
KR20230054492A (en) 2023-04-24
US20180211918A1 (en) 2018-07-26
US11862563B2 (en) 2024-01-02
JP2016541113A (en) 2016-12-28
CN106068549A (en) 2016-11-02
US20200286836A1 (en) 2020-09-10
EP3907755A2 (en) 2021-11-10
KR20170110000A (en) 2017-10-10
US11328993B2 (en) 2022-05-10
JP6652245B2 (en) 2020-02-19
US10700007B2 (en) 2020-06-30
US20150179579A1 (en) 2015-06-25
EP3084810A4 (en) 2017-09-06
CN114361132A (en) 2022-04-15
US20220238451A1 (en) 2022-07-28
TWI610398B (en) 2018-01-01
EP3907755A3 (en) 2022-01-19
KR102526836B1 (en) 2023-04-27
CN106068549B (en) 2022-02-11
KR20210152021A (en) 2021-12-14
TW201533845A (en) 2015-09-01

Similar Documents

Publication Publication Date Title
US11862563B2 (en) Cobalt based interconnects and methods of fabrication thereof
US9514983B2 (en) Cobalt based interconnects and methods of fabrication thereof
US8508018B2 (en) Barrier layers
US8486832B2 (en) Method for fabricating semiconductor device
US20110024908A1 (en) Low resistance high reliability contact via and metal line structure for semiconductor device
US9704798B2 (en) Using materials with different etch rates to fill trenches in semiconductor devices
US10249724B2 (en) Low resistance contact structures for trench structures
US20210167019A1 (en) Metal interconnects, devices, and methods

Legal Events

Date Code Title Description
AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:JEZEWSKI, CHRISTOPHER J.;INDUKURI, TEJASWI J.;CHEBIAM, RAMANAN V.;AND OTHERS;SIGNING DATES FROM 20131216 TO 20131223;REEL/FRAME:032591/0261

AS Assignment

Owner name: INTEL CORPORATION, CALIFORNIA

Free format text: CORRECTIVE ASSIGNMENT TO CORRECT THE SECOND ASSIGNEE NAME PREVIOUSLY RECORDED AT REEL: 032591 FRAME: 0261. ASSIGNOR(S) HEREBY CONFIRMS THE ASSIGNMENT;ASSIGNORS:JEZEWSKI, CHRISTOPHER J.;INDUKURI, TEJASWI K.;CHEBIAM, RAMANAN V.;AND OTHERS;SIGNING DATES FROM 20131216 TO 20131223;REEL/FRAME:037530/0984

STCF Information on status: patent grant

Free format text: PATENTED CASE

MAFP Maintenance fee payment

Free format text: PAYMENT OF MAINTENANCE FEE, 4TH YEAR, LARGE ENTITY (ORIGINAL EVENT CODE: M1551); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

Year of fee payment: 4

AS Assignment

Owner name: TAHOE RESEARCH, LTD., IRELAND

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNOR:INTEL CORPORATION;REEL/FRAME:061175/0176

Effective date: 20220718