JP2017212466A - シームレスのコバルト間隙充填を可能にする方法 - Google Patents

シームレスのコバルト間隙充填を可能にする方法 Download PDF

Info

Publication number
JP2017212466A
JP2017212466A JP2017162204A JP2017162204A JP2017212466A JP 2017212466 A JP2017212466 A JP 2017212466A JP 2017162204 A JP2017162204 A JP 2017162204A JP 2017162204 A JP2017162204 A JP 2017162204A JP 2017212466 A JP2017212466 A JP 2017212466A
Authority
JP
Japan
Prior art keywords
layer
substrate
cobalt
gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
JP2017162204A
Other languages
English (en)
Other versions
JP6502440B2 (ja
Inventor
ブシャン エヌ ゾープ
N Zope Bhushan
ブシャン エヌ ゾープ
アヴェジェリノス ヴイ ジェラトス
Avgerinos V Gelatos
アヴェジェリノス ヴイ ジェラトス
ボー ジョン
Bo Zheng
ボー ジョン
ユー レイ
Yu Lei
ユー レイ
シンユー フー
Xinyu Fu
シンユー フー
シュリニヴァース ガンディコータ
Srinivas Gandikota
シュリニヴァース ガンディコータ
サン−ホー ユー
Sang-Ho Yu
サン−ホー ユー
マシュー アブラハム
Mathew Abraham
マシュー アブラハム
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2017212466A publication Critical patent/JP2017212466A/ja
Priority to JP2019052647A priority Critical patent/JP6962955B2/ja
Application granted granted Critical
Publication of JP6502440B2 publication Critical patent/JP6502440B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Ceramic Engineering (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

【課題】半導体デバイスの接触構造内に接触金属層を堆積させる方法を提供する。
【解決手段】一実施形態では、接触金属層を堆積させて半導体デバイス内に接触構造を形成する方法が提供される。この方法は、周期的金属堆積プロセスを実行して基板上に接触金属層を堆積させるステップと、基板上に配置された接触金属層をアニールするステップとを含む。周期的金属堆積プロセスは、堆積前駆体混合ガスに基板を露出させて基板上に接触金属層の一部分を堆積させるステップと、接触金属層のその部分をプラズマ処理プロセスに露出させるステップと、所定の厚さの接触金属層が実現されるまで、堆積前駆体混合ガスに基板を露出させるステップおよび接触金属層のその部分をプラズマ処理プロセスに露出させるステップを繰り返すステップとを含む。
【選択図】図8

Description

本発明の実施形態は、一般に、半導体製造プロセスの分野に関し、より詳細には、半導体デバイスの接触構造内に接触金属層を堆積させる方法に関する。
集積回路は、基板(たとえば、半導体ウエハ)上に形成された百万個を超えるマイクロエレクトロニクスの電界効果トランジスタ(たとえば、相補型の金属−酸化物−半導体(CMOS)電界効果トランジスタ)を含むことができ、これらの電界効果トランジスタは、回路内で協働して様々な機能を実行する。2分の1μm以下のフィーチャを確実に生産することは、半導体デバイスの次世代の超大規模集積(VLSI)および極超大規模集積(ULSI)に対する主要な技術の1つである。しかし、集積回路技術の限界に押し当たったとき、VLSIおよびULSI技術における相互接続の寸法の縮小により、処理能力に対してさらなる要求が課されるようになった。集積回路の成功に、および個々の基板およびダイの回路密度および品質を増大させるための努力の継続にとって、ゲートパターンの確実な形成が重要である。
フィーチャ寸法がより小さくなるにつれて、フィーチャの深さとフィーチャの幅との間の比として定義されるアスペクト比をより高くすることに対する要求が、20:1以上まで着実に増大した。約20:1以下のアスペクト比を有する幾何形状など、小さい幾何形状を有する接触構造内へ接触金属層を堆積させるときには、様々な問題が生じることがある。たとえば、従来のPVDプロセスを使用して堆積させた接触金属層では、ビアが50nm未満の限界寸法または10:1より大きいアスペクト比を有するとき、ステップカバレッジ不良が生じ、ビアまたはトレンチ内にオーバーハングおよびボイドが形成されることが多い。また、ビアまたはトレンチの底部および側壁上の堆積が不十分な結果、堆積が不連続になり、それによってデバイスの短絡または相互接続形成不良が生じる可能性がある。さらに、接触金属層は、下にあるシリコン含有層の上で接着不良をおこすことがあり、その結果、接触金属層が基板および後の導電金属層から剥離することがある。
こうしてトランジスタ密度が増大し、その後金属コンタクトの横断面が低減するにつれて、既存の低抵抗タングステン(W)の集積方式を使用して接触抵抗要件を満たすのはかなり困難になった。タングステン接触集積方式において高抵抗の接着(たとえば、B26の核形成)およびバリア層(たとえば、TiN)が必要とされる結果、接触抵抗が増大し、22ナノメートル未満の技術ノードにとってこの方式は魅力的な選択肢ではなくなった。
したがって、高アスペクト比のフィーチャ内に接触金属層を形成する改善された方法が必要とされている。
本発明の実施形態は、概して、半導体製造プロセスの分野に関し、より詳細には、半導体デバイスの接触構造内に接触金属層を堆積させる方法に関する。特定の実施形態では、接触金属層を堆積させて半導体デバイス内に接触構造を形成する方法が提供される。この方法は、周期的金属堆積プロセスを実行して基板上に接触金属層を堆積させるステップと、基板上に配置された接触金属層をアニールするステップとを含む。周期的金属堆積プロセスは、堆積前駆体混合ガスに基板を露出させて基板上に接触金属層の一部分を堆積させるステップと、接触金属層のその部分をプラズマ処理プロセスに露出させるステップと、所定の厚さの接触金属層が実現されるまで、堆積前駆体混合ガスに基板を露出させるステップおよび接触金属層のその部分をプラズマ処理プロセスに露出させるステップを繰り返すステップとを含む。
特定の実施形態では、接触金属層を堆積させて半導体デバイス内に接触構造を形成する方法が提供される。この方法は、バリア層堆積プロセスを実行して基板上にバリア層を堆積させるステップと、湿潤層堆積を実行して基板上に湿潤層を堆積させるステップと、周期的金属堆積プロセスを実行して基板上に接触金属層を堆積させるステップとを含む。周期的金属堆積プロセスは、堆積前駆体混合ガスに基板を露出させて基板上に接触金属層の一部分を堆積させるステップと、所定の厚さの接触金属層が実現されるまで、堆積前駆体混合ガスに基板を露出させるステップおよび接触金属層のその部分をプラズマ処理プロセスに露出させるステップを繰り返すステップとを含む。この方法は、基板上に配置された接触金属層をアニールするステップをさらに提供する。
特定の実施形態では、接触金属層を堆積させて半導体デバイス内に接触構造を形成する方法が提供される。この方法は、バリア層堆積プロセスを実行して基板上にバリア層を堆積させるステップと、湿潤層堆積プロセスを実行して基板上に湿潤層を堆積させるステップと、湿潤層上でアニールプロセスを実行するステップとを含む。この方法は、堆積前駆体混合ガスに接触金属層を露出させて基板上に接触金属層の一部分を堆積させることによって、金属堆積プロセスを実行して基板上に接触金属層を堆積させるステップをさらに含む。最後に、この方法は、接触金属層のその部分をプラズマ処理プロセスに露出させるステップと、基板上に配置された接触金属層をアニールするステップとを含む。
本発明の上記の特徴を詳細に理解できるように、上記で簡単に要約した本発明のより詳細な説明は、実施形態を参照することによって得ることができる。これらの実施形態のいくつかを、添付の図面に示す。しかし、本発明は他の等しく有効な実施形態も許容しうるため、添付の図面は本発明の典型的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なすべきではないことに留意されたい。
本明細書に記載の実施形態を実行するのに適した金属堆積処理チャンバの一実施形態の断面図である。 図1の金属堆積処理チャンバが組み込まれた例示的なマルチチャンバ処理システムの概略上面図である。 本明細書に記載の特定の実施形態による半導体デバイス内に接触金属層を形成する流れ図である。 本発明の一実施形態による接触金属層製造プロセスの形成中の半導体デバイスの横断面図である。 本発明の一実施形態による接触金属層製造プロセスの形成中の半導体デバイスの横断面図である。 本明細書に記載の特定の実施形態による半導体デバイス内に接触金属層を形成する周期的堆積プロセスの流れ図である。 本明細書に記載の特定の実施形態による半導体デバイス内に接触金属層を形成する流れ図である。 本明細書に記載の特定の実施形態による接触金属層製造プロセスの形成中の半導体デバイスの横断面図である。 本明細書に記載の特定の実施形態による接触金属層製造プロセスの形成中の半導体デバイスの横断面図である。 記載の特定の実施形態による半導体デバイス内に接触金属層を形成する流れ図である。
理解を容易にするために、可能な場合、複数の図に共通の同一の要素を指すのに同一の参照番号を使用した。一実施形態の要素および特徴は、さらなる記述がなくても、他の実施形態内に有益に組み込むことができることが企図される。しかし、本発明は他の等しく有効な実施形態も許容しうるため、添付の図面は本発明の例示的な実施形態のみを示しており、したがって本発明の範囲を限定すると見なすべきではないことに留意されたい。
本発明の実施形態は、コンタクトの充填のために潜在的に低接触抵抗(Rc)の1材料による解決策をもたらす、間隙充填を利用する金属CVDプロセス(たとえば、コバルトCVDプロセス)を提供する。本明細書に記載の実施形態によって堆積させたCVD膜は、共形のステップカバレッジおよび低い表面粗さを有する。さらに、本明細書で実証される実施形態は、シームを形成することなく半導体デバイスのコンタクト孔を充填するプロセスを実証する。
一実施形態では、基板の上に接触金属層を堆積させる方法が提供され、この方法は、基板をコバルト前駆体ガスおよび水素ガスに露出させてフィーチャ内でシームレスの間隙充填コバルト層の一部分を選択的に形成するステップと、後処理プロセス中に、コバルト層をプラズマおよび窒素、アンモニア、水素、アンモニア/窒素混合物、またはこれらの組合せなどの試薬に露出させるステップとを含む。
以下でより詳細に説明するように、基板上に接触金属層を堆積させて、基板上に接触金属構造を形成する。本明細書では、「基板」という用語は、後の処理動作に対する基礎として働く材料層を指し、接触金属層をその上に形成するように配置される表面を含む。基板は、結晶シリコン(たとえば、Si<100>もしくはSi<111>)、酸化ケイ素、ストレインドシリコン、シリコンゲルマニウム、ドープもしくは非ドープ多結晶シリコン、ドープもしくは非ドープシリコンウエハ、パターン付きもしくはパターンなしウエハ、絶縁体上シリコン(SOI)、炭素がドープされた酸化ケイ素、窒化ケイ素、ドープされたシリコン、ゲルマニウム、砒化ガリウム、ガラス、またはサファイアなどの材料とすることができる。基板はまた、シリコン、酸化ケイ素、ドープされたシリコン、ゲルマニウム、砒化ガリウム、ガラス、およびサファイアなどの1つまたは複数の非導電性材料を含むことができる。基板はまた、二酸化ケイ素、有機ケイ酸塩、および炭素がドープされた酸化ケイ素などの誘電体材料を含むことができる。さらに、基板は、用途に応じて、金属窒化物および金属合金などの任意の他の材料を含むことができる。
1つまたは複数の実施形態では、基板は、後にその上に形成されるプラグ、ビア、コンタクト、線、およびワイアなどの相互接続フィーチャとの接続を容易にするために、ゲート誘電体層およびゲート電極層を含むゲート構造を形成することができる。基板は、直径200mm、300mm、もしくは450mmのウエハ、または他の寸法などの様々な寸法、ならびに方形または正方形のパネルを有することができる。別段の指示がない限り、本明細書に記載の実施形態および例は、直径200mm、直径300mm、または直径450mm、具体的には直径300mmの基板上で行うことができる。
本明細書では、「接触構造」という用語は、ゲート電極の一部を形成することができる接触金属層を含む材料層を指す。1つまたは複数の実施形態では、接触金属層は、ニッケル層、コバルト層、チタン層、またはこれらの任意の組合せとすることができる。
さらに、基板は、いかなる特定の寸法または形状にも限定されるものではない。基板は、中でも、450mmなど、200mmの直径、300mmの直径、または他の直径を有する円形のウエハとすることができる。基板はまた、フラットパネルディスプレイの製造で使用される多角形のガラス基板など、任意の多角形、正方形、方形、湾曲した形、またはそれ以外の非円形の加工物とすることができる。
本明細書に記載の実施形態は、基板上に接触金属層を堆積/形成して接触構造を形成する方法を提供する。堆積プロセスは、堆積させた膜のステップカバレッジ、共形性、ならびに連続性および均一性を基板全体にわたって効率的に改善し、それによって基板全体にわたって形成される全体的な膜の特性を改善することができる。
図1は、本明細書に記載の気相堆積プロセスによって接触金属材料を形成するために使用することができる処理チャンバ150を示す。接触金属材料は、金属コバルト、金属ニッケル、これらの誘導体、またはこれらの組合せを含有することができる。処理チャンバ150を使用して、CVD、プラズマCVD(PE−CVD)、パルスCVD、ALD、PE−ALD、これらの派生物、またはこれらの組合せを実行することができる。コバルト含有材料を堆積させる気相堆積プロセス中には、回旋状の液体チャネル162などの水チャネルを使用して、リッドアセンブリ100の温度を調節することができる。一実施形態では、リッドアセンブリ100は、約100℃〜約300℃、好ましくは約125℃〜約225℃、より好ましくは約150℃〜約200℃の範囲内の温度で加熱または維持することができる。この温度は、コバルト含有材料および/またはニッケル含有材料の気相堆積プロセス中は維持される。
シャワーヘッド156は、ガスボックスプレート160にねじ留めされた比較的短い上方へ延びる縁部158を有する。シャワーヘッド156とガスボックスプレート160はどちらも、アルミニウム、ステンレス鋼、またはこれらの合金などの金属から形成することができ、またはそのような金属を含有することができる。回旋状の液体チャネル162は、ガスボックスプレート160の上部内に形成され、水冷カバープレート134によって覆われて密閉される。概して、回旋状の液体チャネル162を通って水が流される。しかし、リッドアセンブリ100から離れる方へ、またはリッドアセンブリ100の方へ、熱を伝達するために、アルコール、グリコールエーテル、および他の有機溶剤を単独で使用することができ、または水と混合することができる。回旋状の液体チャネル162は、蛇行しているが概して円周方向の経路として形成されており、この経路は、内側から外側へ進む際に湾曲部(たとえば、3つの鋭いUターンまたはU字状の湾曲部)を有するが、内側に戻ると放射状のチャネル(図示せず)になる。回旋状の液体チャネル162は、水の流れが確実に乱流になるのに十分なほど狭く、したがってガスボックスプレート160のフランジから回旋状の液体チャネル162内の水への熱の流れを支援する。回旋状の液体チャネル162に液体温度調節システム(図示せず)を取り付けることができ、この液体温度調節システムを使用して、リッドアセンブリ100から離れる方へ、またはリッドアセンブリ100の方へ、熱を伝達することができる。一例では、リッドアセンブリ100は、約150℃の温度で加熱または維持されるように構成されており、ジコバルトヘキサカルボニルブチルアセチレン、すなわち「CCTBA」などのコバルト前駆体源およびH2などの水素前駆体源と流体的に連通している。
シャワーヘッド156の延びる縁部158は、ガスボックスプレート160の底部の縁部171に取り付けられる。縁部158および171はどちらも、取り囲むリッド隔離体175と、シャワーヘッド156の取り囲まれた下部空胴130との間で、最大に寸法設定される。シャワーヘッド156とガスボックスプレート160との間を締め付けるねじにより、最大に寸法設定された接触面積に対して良好な熱接触が確保される。熱流面積は、リッド隔離体175(リッド隔離体175とシャワーヘッド156またはガスボックスプレート160との間の間隙を除く)の位置する外側から下部空胴130の位置する内側まで延びる。回旋状の液体チャネル162の構造により、水とガスボックスプレート160との間で効率的な熱伝達が提供される。ガスボックスプレート160のフランジとシャワーヘッド156との間の機械インターフェースにより、ガスボックスプレート160とシャワーヘッド156との間で効率的な熱伝達が確保される。したがって、シャワーヘッド156の冷却が大いに強化される。
処理チャンバ150は、処理チャンバ150内で垂直方向に動かすことができるペデスタルステム154に接続されたヒータペデスタル152をさらに収容する。ヒータペデスタル152のヒータ部分は、セラミック材料から形成することができる。その上部堆積位置で、ヒータペデスタル152は、シャワーヘッド156の下面107に密接に対向するように基板402を保持する。ヒータペデスタル152とシャワーヘッド156の下面107との間に、処理領域126が画定される。シャワーヘッド156は、下部空胴130と処理領域126との間で連通して処理ガスの通過を可能にする複数の開孔または孔109を有する。処理ガスは、アルミニウムから作られる水冷ガスボックスプレート160の中心に形成されたガスポート132を通って供給される。ガスボックスプレート160の上側は、ガスポート132を含むガスボックスプレート160の上部部分を取り囲む水冷カバープレート134によって覆われる。ガスポート132は、ブロッカプレート140によって下部空胴130から分離された上部空胴138へ処理ガスを供給する。ブロッカプレート140を通って、多数の孔109が配置される。一実施形態では、空胴130および138、シャワーヘッド156、ならびにブロッカプレート140は、基板402の上面の上へ処理ガスを均一に分散させる。
基板402は、ヒータペデスタル152上で支持することができる。ヒータペデスタル152は、引き上げられた堆積位置で示されている。降下させたローディング位置では、4つのリフトピン118を持ち上げる持ち上げチューブ117に、持ち上げリング116が取り付けられる。リフトピン118は、ヒータペデスタル152内へ摺動するように嵌合し、したがってリフトピン118は、チャンバ本体120内のロードロックポート119を通ってチャンバ内へローディングされた基板402を受け取ることができる。一実施形態では、ヒータペデスタル152は、プラズマ気相堆積プロセス中などに、任意選択の閉じ込めリング110を収容することができる。
側面パージガス源123は、処理チャンバ150に結合することができ、必要に応じて基板402のエッジ部分151にパージガスを供給するように構成することができる。一実施形態では、これらのガスは、側面パージガス源123から基板402のエッジ部分151へ供給することができる。これらのガスは、水素ガス、アルゴンガス、窒素ガス、ヘリウムガス、これらの組合せなどとすることができる。さらに、底部パージガス源125もまた、チャンバ150に結合することができ、チャンバ150の底部から基板402の表面へパージガスを供給することができる。同様に、底部パージガス源125から供給されるパージガスは、水素ガス、アルゴンガス、窒素ガス、ヘリウムガス、これらの組合せなどを含むことができる。
シャワーヘッド156とリッド縁部166との間にリッド隔離体175が挿入される。リッド縁部166をチャンバ本体120から持ち上げて、保守アクセスのために処理チャンバ150を開くことができる。処理チャンバ150内の真空は、処理チャンバ150内のポンププレナム172に接続された真空ポンプ170によって維持される。ポンププレナム172は、環状のポンピングチャネル174に接続される。
処理チャンバ150内に、石英から作られた環状のチャンバライナ179が配置され、環状のポンピングチャネル174の側面を画定するが、処理領域126と環状のポンピングチャネル174との間に配置されたさらなるチョーク開孔181も部分的に画定する。環状のチャンバライナ179はまた、ヒータペデスタル152の降下位置で閉じ込めリング110を支持する。チャンバライナ179はまた、ヒータペデスタル152の裏面で円周を取り囲む。チャンバライナ179は、チャンバ本体120内の狭い棚部上に位置するが、他とほとんど接触しておらず、したがって熱の輸送を最小にする。チャンバライナ179の下には、不透明な石英から作られたZ字状の下部チャンバシールド121が位置する。下部チャンバシールド121は、下部チャンバシールド121の底部上に形成された環状のボス177で、チャンバ本体120の底部上に位置する。この石英により、ヒータペデスタル152の底部とチャンバ本体120との間の放射結合が防止される。環状のボス177は、チャンバ本体120への伝導性の熱伝達を最小にする。代替実施形態では、下部チャンバシールド121は、円錐形の上部部分に接合された内方へ延びる底部リップを含み、底部リップは、チャンバ本体120の内壁と共形である。この代替設計は動作上満足のいくものであるが、傾斜した形状は、石英で製造するのにはるかに高価である。
一実施形態では、ガスポート132を通って処理チャンバ150に遠隔プラズマ源141を結合して、遠隔プラズマ源141からシャワーヘッド156内の複数の孔109を通って処理チャンバ150へ基板402の表面まで反応性プラズマを供給することができる。遠隔プラズマ源141は、任意の適した位置で処理チャンバ150に結合して、必要に応じて反応性遠隔プラズマ源を基板402の表面に供給することができることに留意されたい。遠隔プラズマ源141に供給して解離させることができ、基板402の表面へさらに送達することができる適したガスには、水素、アルゴン、ヘリウム、窒素、アンモニア、これらの組合せなどが含まれる。
図1では、チャンバ150に制御ユニット180を結合して、処理条件を制御することができる。制御ユニット180は、中央処理装置(CPU)182、支持回路184、およびメモリ186を備え、メモリ186は関連する制御ソフトウェア183を収容する。制御ユニット180は、様々なチャンバおよびサブプロセッサを制御するために産業用の設定で使用することができる任意の形態の汎用コンピュータプロセッサの1つとすることができる。CPU182は、ランダムアクセスメモリ、読取り専用メモリ、フロッピーディスクドライブ、コンパクトディスクドライブ、ハードディスク、または任意の他の形態のローカルもしくは遠隔のデジタルストレージなど、任意の適したメモリ186を使用することができる。CPU182には、チャンバ150を支持するために、様々な支持回路を結合することができる。制御ユニット180は、個々のチャンバ構成要素に隣接して位置する別のコントローラに結合することができる。制御ユニット180とチャンバ150の様々な他の構成要素との間の双方向通信は、集合的に信号バスと呼ばれる多数の信号ケーブルを通じて取り扱われる。これらの信号バスの一部を、図1に示す。
図2は、本明細書に開示する金属層堆積プロセスを実行するように適合することができる例示的なマルチチャンバ処理システム200の概略上面図であり、システム200には、図1に関連して上述したチャンバ150などの処理チャンバ80が組み込まれている。システム200は、システム200との間で基板90を移送する1つまたは複数のロードロックチャンバ202および204を含むことができる。概して、システム200は真空下で維持されており、ロードロックチャンバ202および204を「ポンプダウン」して、基板90をシステム200内へ導入することができる。第1のロボット210は、ロードロックチャンバ202および204と第1の1組の1つまたは複数の基板処理チャンバ212、214、216、および80との間で基板90を移送することができる。各処理チャンバ212、214、216、および80は、周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、ガス抜き、前洗浄、配向、アニール、および他の基板プロセスなどの基板堆積プロセスの少なくとも1つになるように構成される。さらに、処理チャンバ212、214、216、および80の1つもまた、基板90上で堆積プロセスまたは熱アニールプロセスを実行する前に前洗浄プロセスを実行するように構成することができる。他のチャンバ212、214、216に対する熱アニールプロセスを実行するために利用される処理チャンバ80の位置は例示を目的とするものであり、所望する場合、処理チャンバ80の位置は、処理チャンバ212、214、216のいずれか1つと任意選択で切り換えることができる。
第1のロボット210はまた、1つまたは複数の移送チャンバ222および224との間で基板90を移送することができる。移送チャンバ222および224は、超高真空の条件を維持しながらシステム200内で基板90を移送することを可能にするために使用することができる。第2のロボット230は、移送チャンバ222および224と第2の1組の1つまたは複数の処理チャンバ232、234、236、および238との間で基板90を移送することができる。処理チャンバ212、214、216、および80と同様に、処理チャンバ232、234、236、および238は、たとえば周期的層堆積(CLD)、原子層堆積(ALD)、化学気相堆積(CVD)、物理的気相堆積(PVD)、エッチング、前洗浄、ガス抜き、および配向に加えて、本明細書に記載の乾式エッチングプロセスを含む様々な基板処理動作を実行するように装備することができる。システム200によって実行される特定のプロセスにとって必要でない場合、基板処理チャンバ212、214、216、232、234、236、および238のいずれかをシステム200から除去することもできる。処理チャンバ80内で前洗浄、堆積、および/または熱アニールプロセスが実行された後、必要に応じて、基板をシステム200の処理チャンバ212、214、216、232、234、236、および238のいずれかへさらに移送して、他のプロセスを実行することもできる。
図3は、半導体デバイス構造内で基板上に接触金属層を堆積させるために使用されるプロセスシーケンス300の一実施形態の流れ図を示す。図3に記載のシーケンスは、以下で論じる図4A〜4Eに示す製造段階に対応する。図4A〜4Eは、処理シーケンス300によって示すデバイス構造408上に接触金属層420を製造する異なる段階中の基板402の概略横断面図を示し、基板402上にデバイス構造408が形成されている。図3のシーケンスは、概して、CVD、ALD、またはPVDによって堆積させたコバルト接触金属層を参照しながら提供される。
可能な集積方式には、それだけに限定されるものではないが、(a)PVD Ti+ALD TiN、(b)PVD Ti+CVD Co、(c)CVD Co、および(d)CVD Co+PVD Coが含まれる。PVD Tiは、ソースまたはドレインにおいて、下にあるケイ素化合物との良好な電気接触を提供する。ALD TiNは、必要な場合、コバルト膜のリフローを助けるために、コバルト膜の接着を改善する。CVD Coは、CVD膜を使用するコバルト充填を行い、またはCVDに続いてリフローを行う。
プロセスシーケンス300は、ブロック310で、図1に示す処理チャンバ150または他の適した処理チャンバ内に配置された基板402など、図4Aに示す基板402などの基板を処理チャンバ内へ設けることによって始まる。図4Aに示す基板402は、基板402上に形成された半導体デバイス構造408(たとえば、接触構造を形成するように構成されたゲート構造または他の構造など)を含む。この特定のデバイス構造408は、高いアスペクト比または他の異形の幾何形状を有する3次元(3D)フラッシュメモリの用途、DRAMの用途、または他の適した用途に使用することができることに留意されたい。
基板402上にシリコン含有層404が形成され、シリコン含有層404内には、10:1より大きい、たとえば約20:1より大きいアスペクト比など、高いアスペクト比を有する開口406が形成される。開口406(接触開口、接触ビア、接触トレンチ、接触チャネルなどとすることができる)はデバイス構造408内に形成され、下にあるシリコン含有層404を露出させるための開いたチャネルを形成する側壁412および底部414を有する。シリコン含有層404は、単一のシリコン層、または少なくとも1つのシリコン含有層が中に形成された複数層の膜スタックなど、任意の適した層を含むことができる。シリコン含有層404が単層の形態である実施形態では、シリコン含有層404は、酸化ケイ素層、酸化物層、窒化ケイ素層、窒化物層、酸窒化ケイ素層、窒化チタン層、多結晶シリコン層、微結晶シリコン層、単結晶シリコン、ドープされた多結晶シリコン層、ドープされた微結晶シリコン層、またはドープされた単結晶シリコンとすることができる。
別の例では、シリコン含有層404は、複合酸化物および窒化物層、窒化物層を挟む少なくとも1つまたは複数の酸化物層、ならびにこれらの組合せを含む膜スタックとすることができる。シリコン含有層404内にドープされる適したドーパントは、ホウ素(B)含有ドーパントまたはホスフィン(P)含有ドーパントなどのp型ドーパントおよびn型ドーパントを含むことができる。シリコン含有層404が少なくとも1つのシリコン含有層を有する複数膜スタックの形態である一実施形態では、シリコン含有層404は、シリコン含有層および誘電体層を含む層の対を繰り返したものを含むことができる。一実施形態では、シリコン含有層404は、シリコン含有層404内に配置された多結晶シリコン層および/または他の金属材料および/または誘電体層を含むことができる。誘電体層の適した例は、中でも、酸化物層、酸化ケイ素層、窒化ケイ素層、窒化物層、窒化チタン層、酸化物および窒化物層の複合物、窒化物層を挟む少なくとも1つまたは複数の酸化物層、ならびにこれらの組合せからなる群から選択することができる。
ブロック310に記載の金属堆積処理チャンバ内へ基板402を移送する前に、前洗浄プロセスを任意選択で実行して、基板の表面411、開口406の側壁412、および底部414を処理し、固有の酸化物または他の汚染物質源を除去する。固有の酸化物または他の汚染物質源を基板402から除去することで、低接触抵抗の表面を提供し、接触金属層を形成するための良好な接触表面を形成することができる。
実行される前洗浄プロセスは、前洗浄混合ガスを前洗浄チャンバ内へ供給することを含む。前洗浄チャンバは、カリフォルニア州サンタクララのApplied Materials,Inc.から入手可能なPreclean PCII、PCXT、またはSiconi(商標)というチャンバとすることができる。前洗浄チャンバは、例示的なマルチチャンバ処理システム200内に組み込むことができ、必要に応じてシステム200の処理チャンバ212、214、216、232、234、236、238の1つになるように構成することができる。他の製造業者から入手可能な他の前洗浄チャンバを利用して、本明細書に記載の実施形態を実行することもできることに留意されたい。
前洗浄プロセスは、システム200内に組み込まれている前洗浄処理チャンバ内へ洗浄混合ガスを供給し、この前洗浄混合ガスからプラズマを形成して固有の酸化物を除去することによって実行される。一実施形態では、固有の酸化物を除去するために使用される前洗浄混合ガスは、アンモニア(NH3)および三フッ化窒素(NF3)の混合ガスである。処理チャンバ内へ導入される各ガスの量は、たとえば、除去すべき固有の酸化物層の厚さ、洗浄される基板の幾何形状、プラズマの容積、チャンバ本体の容積、ならびにチャンバ本体に結合された真空システムの能力に対応するように変動および調整することができる。
1つまたは複数の実施形態では、前洗浄混合ガスを提供するために追加されるガスは、アンモニア(NH3)対三フッ化窒素(NF3)のモル比が少なくとも1:1である。1つまたは複数の実施形態では、前洗浄混合ガスのモル比は、少なくとも約3:1(アンモニア対三フッ化窒素)である。これらのガスは、約5:1(アンモニア対三フッ化窒素)〜約30:1のモル比で導入される。さらに別の実施形態では、混合ガスのモル比は、約5:1(アンモニア対三フッ化窒素)〜約10:1である。前洗浄混合ガスのモル比はまた、約10:1(アンモニア対三フッ化窒素)〜約20:1とすることができる。
また、前洗浄混合ガスにパージガスまたはキャリアガスを追加することもできる。アルゴン、ヘリウム、水素、窒素、またはこれらの混合物など、任意の適したパージ/キャリアガスを使用することができる。全体的な前洗浄混合ガスは、アンモニアおよび三フッ化窒素の約0.05体積%〜約20体積%である。前洗浄混合ガスの残りは、パージ/キャリアガスとすることができる。
前洗浄チャンバ内の動作圧力は、変動させることができる。圧力は、約1トル〜約10トルで維持することができる。洗浄混合ガス内でプラズマを維持するために、RFソース電力を印加することができる。たとえば、前洗浄処理チャンバ内でプラズマを維持するために、約15ワット〜約100ワットの電力を印加することができる。電力が印加される周波数は、約350kHzである。この周波数は、約50kHz〜約350kHzの範囲とすることができる。プラズマエネルギーは、アンモニアガスおよび三フッ化窒素ガスを解離して反応性の化学種、たとえばフッ素ラジカルおよび/または水素ラジカルにし、これらを組み合わせて、気相の高反応性のフッ化アンモニア(NH4F)化合物および/またはフッ化水素アンモニウム(NH4F・HF)を形成する。次いでこれらの分子は、プラズマの場所から洗浄すべき基板の表面へ送達される。基板への反応性の化学種の送達を容易にするために、パージ/キャリアガスを使用することができる。一実施形態では、前洗浄プロセス後、チタン層を堆積させることができる。チタン層は、ビアと下にある基板とのインターフェースであらゆる残りの酸素を集めるように動作し、それによって下にある基板との改善された電気接触を提供する。
ブロック320で、ブロック310で金属堆積処理チャンバ150内に基板402を設けた後であるが基板402上に接触金属層を堆積する前に、図4Bに示すように、前処理プロセスを実行して基板表面411を前処理し、したがってシリコン含有層404内で表面411、開口406の側壁412、および底部414上に処理済みの表面領域410を形成することができる。特定の実施形態では、基板表面411は、基板402上で事前に実行された任意選択の前洗浄プロセスから残っている基板表面上に、Si−F、N−F、H−F、およびSi−Nの幾分弱いまたは残留のダングリングボンディング構造を有することがある。ダングリングボンドは、後の接触金属堆積プロセスで基板表面上に堆積させた金属原子の吸収または接着を望ましくない形で不利に妨げることがある。したがって、ブロック320の前処理プロセスを実行して、シリコン含有層404の表面411の表面ボンディング構造を効率的に変え、それによって後の接触金属堆積プロセスから提供される金属原子の接着を強化するための良好な吸収能力を有する表面を提供することができる。前処理プロセスは、Si−F、H−F、N−F、およびSi−Nのボンディング構造をSi−HまたはSi−Siのボンディングに効率的に変換または除去することができ、それによって金属原子の接着を助けて層を形成することができると考えられる。
一実施形態では、接触金属堆積プロセス前に前処理混合ガスを金属堆積処理チャンバ150内へ供給して、基板402の表面特性を変えることができる。一実施形態では、前処理混合ガスは、少なくともH2、H2O、H22などの水素含有ガスを含むことができる。また、Ar、He、Krなどの不活性ガスを前処理混合ガス内へ供給することもできる。さらに、N2、NH3、N2O、NO2などの窒素含有ガスを前処理混合ガス内へ供給することもできる。例示的な実施形態では、基板表面411を前処理するために供給される前処理混合ガスは、H2ガスなどの水素含有ガスと、Arガスなどの不活性ガスとを含む。別の例示的な実施形態では、基板表面411を前処理するために供給される前処理混合ガスは、H2ガスなどの水素含有ガスと、Arガスなどの不活性ガスと、NH3ガスなどの窒素含有ガスとを含む。
前処理混合ガスは、金属堆積処理チャンバ150に結合された遠隔プラズマ源141などの遠隔プラズマ源から供給することができ、前処理混合ガスプラズマを処理チャンバ150から基板表面411へ遠隔で供給することができる。別法として、前処理混合ガスは、処理チャンバ150内に設置された任意の他の適した供給源から基板表面411へ供給することができる。
ブロック320の前処理プロセス中、いくつかのプロセスパラメータを調節して、前処理プロセスを制御することができる。1つの例示的な実施形態では、金属堆積処理チャンバ150内のプロセス圧力は、約500ミリトル〜約1000ミリトルなど、約50ミリトル〜約5000ミリトル、たとえば約700ミリトルで調節される。前処理混合ガス内でプラズマを維持するために、RFソース電力を印加することができる。たとえば、処理チャンバ150の内側でプラズマを維持するために、約1000ワット〜約6000ワットの電力を印加することができる。前処理混合ガス内で供給される水素含有ガスは、約400sccm〜約4000sccmの速度で処理チャンバ150内へ流すことができ、前処理混合ガス内で供給される不活性ガスは、約200sccm〜約2000sccmの速度で流すことができる。前処理混合ガス内で供給される窒素含有ガスは、約100sccm〜約3000sccmの速度で流すことができる。基板402の温度は、摂氏約125度〜摂氏約250度で維持される。一実施形態では、基板402は、ガスの動作温度、圧力、および流量に応じて、約10秒〜約2分にわたって前処理プロセスにかけられる。たとえば、基板402は、約30秒〜約60秒にわたって露出させることができる。例示的な実施形態では、基板は、約40秒以下にわたって露出される。
任意選択で、ブロック330で、図4Cに示すように、バリア層堆積プロセスを実行して、基板上にバリア層416を堆積させることができる。バリア層416は、概して、基板上の接合材料、典型的にはシリコンまたはシリコンゲルマニウム化合物への接触金属層の拡散を防止する。バリア層は、概して、チタン(Ti)、窒化チタン(TiN)、これらの合金、またはこれらの組合せなどの金属または窒化金属材料を含有する。バリア層416はまた、プラズマ窒化(N2またはNH3)TiおよびPVDコバルトを含むことができる。バリア層416が窒化Ti層を含む場合、上部数オングストロームのチタンだけがTiN化合物に変換される。酸化されたTiおよびTiNバリア層と、酸化されていないTiおよびTiNバリア層はどちらも、改善された拡散抵抗を提供することが分かっている。バリア層416は、約2Å〜約100Åの範囲内、より狭くは約3Å〜約80Åの範囲内、より狭くは約4Å〜約50Åの範囲内、より狭くは約5Å〜約25Åの範囲内、より狭くは約5Å〜約20Åの範囲内、より狭くは約5Å〜約15Åの範囲内、およびより狭くは約5Å〜約10Åの範囲内の厚さを有することができる。バリア層は、概して、原子層堆積(ALD)、プラズマALD(PE−ALD)、化学気相堆積(CVD)、または物理的気相堆積(PVD)プロセスによって堆積される。
バリア層416は、以下で詳細に説明する湿潤層に類似している。上記のように、バリア層416は、概して、基板上の接合材料への接触金属層の拡散を防止する。湿潤層は、概して、接触金属層、いくつかの実施形態ではコバルトの接着を強化し、それによって接触金属層上で実行されるアニールプロセス中にフィーチャ内で望ましくないボイドの形成を低減させる。
ブロック340で、基板表面上でブロック320の前処理プロセスを実行して処理済みの表面領域410を形成した後、またはブロック330でバリア層416の堆積後、図4Dに示すように、処理チャンバ150内でCVD接触金属堆積プロセスを実行して、接触金属層420を堆積させることができる。接触金属層420は、図5に記載の周期的堆積プロセスを使用して堆積させることができる。接触金属層420は、開口406を充填する。接触金属層420の適した例には、チタン(Ti)、コバルト(Co)、ニッケル(Ni)、これらの合金、またはこれらのいずれかの組合せが含まれる。本明細書に記載の1つの特定の実施形態では、基板402上に堆積させた接触金属層420は、コバルト(Co)層である。
接触金属層420は、周期的金属堆積プロセスを実行して接触金属層420を堆積させ、それに続いて接触金属層420をアニールする複数のサイクルを含む多段階堆積プロセスを使用して堆積させることができる。特定の実施形態では、接触金属層420の厚さは、充填される最も小さいフィーチャのフィーチャ直径(限界寸法)の50%未満とするべきである。たとえば、周期的金属堆積プロセスを実行して、フィーチャ直径の2分の1未満までフィーチャを部分的に充填し、それに続いてアニールプロセスを行う。次いで、周期的堆積プロセスに続いてアニールを行うことを繰り返して、接触金属層420が所定の厚さを実現するまで堆積させるはずである。代替実施形態では、単一の非周期的堆積プロセスで、接触金属層420を堆積させてフィーチャを完全に充填することができる。この実施形態では、次いで接触金属層420はアニールされる。非周期的な接触金属層420の堆積プロセス、および後のアニールプロセスにより、完了するのに必要とされる時間がより短くなるため、スループットが増大する。
図5は、本発明の一実施形態による半導体デバイス内に接触金属層420などの接触金属層を形成するブロック340に示す周期的堆積プロセスに対する流れ図を示す。一実施形態では、プロセスは、基板を堆積ガスに露出させて接触金属層の一部分を形成するステップ(ブロック510)と、任意選択で堆積チャンバをパージするステップ(ブロック520)と、基板をプラズマ処理プロセスに露出させるステップ(ブロック530)と、任意選択で堆積チャンバをパージするステップ(ブロック540)と、所定の厚さのコバルト接触金属層が実現されたかどうかを判定するステップ(ブロック550)とを含む。一実施形態では、所定の厚さを有するコバルト接触金属層が形成されなかった場合、ブロック510〜550のサイクルを繰り返すことができる。また、所定の厚さを有する接触金属層が形成された後、プロセスを停止させることができる。
接触金属堆積プロセス中、接触金属層420は、熱CVDプロセス、パルスCVDプロセス、PE−CVDプロセス、パルスPE−CVDプロセス、または熱ALDプロセス中に、コバルト前駆体またはニッケル前駆体を含む堆積前駆体混合ガスを、水素ガス(H2)またはNH3ガスなどの還元混合ガス(試薬)と同時に、還元混合ガスに連続して、または別法として還元混合ガスなしで、金属堆積処理チャンバ150内へ導入することによって形成または堆積させることができる。さらに、堆積前駆体混合ガスはまた、処理のために同時に処理チャンバ内へ供給されるパージ混合ガスを含むことができる。別の実施形態では、接触金属層420は、熱ALDプロセスまたはパルスPE−CVDプロセス中に、コバルト前駆体などの堆積前駆体混合ガスのパルスおよび水素ガス(H2)またはNH3ガスなどの還元混合ガスのパルスを、金属堆積処理チャンバ150内へ連続して繰返し導入することによって形成または堆積させることができる。別の実施形態では、接触金属層420は、熱ALDプロセスまたはパルスPE−CVDプロセス中に、水素ガス(H2)またはNH3ガスなどの還元混合ガスを連続して流しながら、コバルト前駆体などの堆積前駆体混合ガスのパルスおよび還元混合ガスのパルスを金属堆積処理チャンバ150内へ繰返し導入することによって形成または堆積させることができる。別の実施形態では、接触金属層420は、PE−CVDプロセス中に、水素ガス(H2)またはNH3ガスなどの還元混合ガスおよびコバルト前駆体などの堆積前駆体混合ガスをプラズマ条件下で連続して流すことによって形成または堆積させることができる。別の実施形態では、接触金属層420は、PE−CVDプロセス中に、水素ガス(H2)またはNH3ガスなどの還元混合ガスをプラズマ条件下で連続して流し、コバルト前駆体などの堆積前駆体混合ガスを周期的にパルシングすることによって形成または堆積させることができる。
本明細書に記載のCVDまたはALDプロセスによってコバルト含有材料(たとえば、金属コバルトまたはコバルト合金)を形成するのに適したコバルト前駆体には、コバルトカルボニル錯体、コバルトアミジナート化合物、コバルトセン化合物、コバルトジエニル錯体、コバルトニトロシル錯体、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せが含まれる。いくつかの実施形態では、本発明の譲受人に譲渡された米国特許第7,264,846号、および2003年5月22日に出願され、米国特許出願公開第2005−0220998号として公開された米国特許出願第10/443,648号にさらに記載されているCVDおよびALDプロセスによって、コバルト材料を堆積させることができる。両文献を、全体として参照により本明細書に組み込む。
適したコバルト前駆体は、それだけに限定されるものではないが、コバルトカルボニル錯体、コバルトアミジナート化合物、コバルトセン化合物、コバルトジエニル錯体、コバルトニトロシル錯体、コバルトジアザジエニル(diazadienyl)錯体、水素化コバルト錯体、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せを含むことができる。一実施形態では、本明細書で使用することができるコバルト前駆体の例には、ジコバルトヘキサカルボニルブチルアセチレン(CCTBA、(CO)6Co2(HC≡CtBu))、ジコバルトヘキサカルボニルメチルブチルアセチレン((CO)6Co2(MeC≡CtBu))、ジコバルトヘキサカルボニルフェニルアセチレン((CO)6Co2(HC≡CPh))、ヘキサカルボニルメチルフェニルアセチレン((CO)6Co2(MeC≡CPh))、ジコバルトヘキサカルボニルメチルアセチレン((CO)6Co2(HC≡CMe))、ジコバルトヘキサカルボニルジメチルアセチレン((CO)6Co2(MeC≡CMe))、コバルトアミニデート(aminidate)(C2042CoN)、コバルトヘキサフルオロアセチルアセトン(Co(C5HF622・xH2O)、コバルトアセチルアセトネート((CH3COC=COCH33Co)、コバルト(II)アセチルアセトン((CH3COC=COCH32Co)、酢酸コバルト((CH3COO)2Co)、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せが含まれる。他の例示的なコバルトカルボニル錯体には、シクロペンタジエニルコバルトビス(カルボニル)(CpCo(CO)2)、トリカルボニルアリルコバルト((CO)3Co(CH2CH=CH2))、コバルトトリカルボニルニトロシル(Co(CO)3NO)、これらの誘導体、これらの錯体、これらのプラズマ、またはこれらの組合せが含まれる。本明細書で使用されるコバルト前駆体の1つの特定の例は、ジコバルトヘキサカルボニルブチルアセチレン(CCTBA、(CO)6Co2(HC≡CtBu))である。ジコバルトヘキサカルボニルブチルアセチレン(CCTBA、(CO)6Co2(HC≡CtBu))前駆体は、Arガスなどのキャリアガスとともに金属堆積処理チャンバ150内へ供給することができることに留意されたい。
本明細書に記載の堆積プロセス中にコバルト材料を形成するためにコバルト前駆体とともに使用される代替の試薬(すなわち、還元剤)の例は、水素(たとえば、H2もしくは原子H)、窒素(たとえば、N2もしくは原子N)、アンモニア(NH3)、ヒドラジン(N24)、水素およびアンモニアの混合物(H2/NH3)、ボラン(BH3)、ジボラン(B26)、トリエチルボラン(Et3B)、シラン(SiH4)、ジシラン(Si26)、トリシラン(Si38)、テトラシラン(Si410)、メチルシラン(SiCH6)、ジメチルシラン(SiC28)、ホスフィン(PH3)、これらの誘導体、これらのプラズマ、またはこれらの組合せを含むことができる。1つの特定の例では、本明細書で使用される試薬または還元剤はアンモニア(NH3)である。
ブロック340の周期的堆積プロセス中、堆積前駆体混合ガスの各パルスとプラズマ前処理プロセスとの間に、各堆積前駆体パルスまたは選択された堆積前駆体パルス間で、処理チャンバ150の側面/エッジおよび/または底部から基板402のエッジ部分151へパージ混合ガスを供給することができる。処理チャンバ150内に配置された側面パージガス源123および/または底部パージガス源125からパージ混合ガスを供給し、そのパージ混合ガスを基板402の表面のエッジ/周辺部へ供給することができる。本明細書に記載の基板402のエッジ/周辺部領域は、300mmの基板に対して、基板のエッジ/斜面から約1mm〜約5mm、または基板の中心点/中心線(たとえば、基板の中心点を通る直径)から約145mm〜約149mmの基板402のエッジ領域を指すことができることに留意されたい。ブロック530のプラズマ処理プロセス中のガス流はまた、プロセスチャンバをパージする働きもすることができることも理解されよう。
一実施形態では、接触金属堆積プロセスで供給されるパージ混合ガスは、少なくとも水素含有ガスおよび不活性ガスを含むことができる。パージ混合ガスは、堆積プロセス中、必要に応じて堆積前駆体混合ガスとともに供給することができることに留意されたい。水素含有ガスの適した例は、H2、H2O、H22などを含むことができる。不活性ガスの適した例には、Ar、He、またはKrが含まれる。1つの特定の実施形態では、金属堆積プロセス中に供給されるパージ混合ガスは、H2およびArガスを含むことができる。
堆積プロセスの一実施形態では、堆積前駆体混合ガスのパルスが、還元ガスとともに、また任意選択でパージ/キャリア混合ガスとともに、堆積チャンバ150へ供給される。本明細書で、パルスという用語は、プロセスチャンバ内へ射出される1回分の材料を指す。堆積前駆体混合ガスのパルスは、所定の時間間隔にわたって継続する。堆積前駆体混合ガスの各パルスとプラズマ処理プロセスとの間で、堆積前駆体混合ガスの各パルスまたは複数のパルスの間に、パージ混合ガスを処理チャンバ内へパルシングして、基板402の表面によって反応/吸収されない不純物または残留の前駆体混合ガス(たとえば、コバルト前駆体からの反応されない炭素含有不純物など)を除去することができ、したがってこれらを処理チャンバからポンプで汲み出すことができる。
堆積前駆体混合ガスのパルスに対する時間間隔は、膜の厚さ要件、プロセスチャンバの体積、スループットの問題、ガスの流量などの複数の要因に応じて可変である。一実施形態では、プロセス条件は、少なくとも単層のコバルト金属前駆体が基板402上に吸着されるのに十分な量の前駆体を堆積前駆体混合ガスのパルスが提供するように選択されることが有利である。その後、チャンバ内に残っている余分のコバルト金属前駆体を、パージ混合ガスによって処理チャンバから除去してポンプで汲み出すことができる。
いくつかの実施形態では、単一のパルス内で還元混合ガスを堆積前駆体混合ガスと同時に供給して、接触金属層416を形成することができる。本明細書に示す一実施形態では、還元ガスのパルスは、堆積前駆体混合ガスの第1〜第5のパルスなど、最初の数パルス後、堆積前駆体混合ガスとともに流すことができる。
動作の際には、ブロック510で、堆積前駆体混合ガスの第1のパルスを処理チャンバ150内へパルシングして、コバルト接触金属層420の一部分を基板上に堆積させる。処理チャンバ150に入る堆積前駆体混合ガスの各パルスは、約5Å〜約100Åの厚さを有するコバルト層を堆積させることができる。堆積前駆体混合ガスのパルシング中、いくつかのプロセスパラメータも調節される。一実施形態では、プロセス圧力は、約7トル〜約30トルで制御される。処理温度は、摂氏約125度〜摂氏約250度である。プラズマプロセスの場合、RF電力は、約100ワット〜約1200ワットで制御することができる。堆積前駆体混合ガス内に供給されるコバルトガス前駆体は、約1sccm〜約10sccmで制御することができる。H2ガスなどの還元ガスは、約3000sccm〜約5000sccmなど、約100sccm〜約10,000sccmで供給することができる。基板エッジ/基板底部から供給されるH2ガスは、約200sccm〜約1000sccmで制御することができる。アルゴンガスは、基板エッジ/基板底部から約200sccm〜約1000sccmで供給することができる。
任意選択で、ブロック510後、プロセスチャンバをパージすることができる。堆積前駆体混合ガスのパルシング後、次いでパージ混合ガスを処理チャンバ内へ供給して、残留物および不純物を処理チャンバからパージ除去する。パージ混合ガスのパルシング中、プロセス圧力を約1秒〜約5秒などの比較的短い時間間隔で2トル未満などの特定の低いレベル、たとえば0.5トル未満までポンプで下げて、残留物および不純物を処理チャンバから急速にポンプで汲み出すのを助けることができる。パージ混合ガスのパルシング中、いくつかのプロセスパラメータも調節される。一実施形態では、プロセス圧力は、0.1トル〜約1トルなど、約0.1トル〜約2トル、たとえば約0.1トル〜約0.6トルで制御される。処理温度は、摂氏約125度〜摂氏約250度である。RF電力は、約100ワット〜約800ワットで制御することができる。パージ混合ガス内に供給されるH2ガスは、約200sccm〜約1000sccmで制御することができる。Arガスは、約200sccm〜約1000sccmで供給することができる。
ブロック510で基板402を堆積ガスに露出させ、またはブロック520で堆積チャンバをパージした後、基板402はプラズマ処理プロセスに露出される。このプラズマ処理プロセスにより、表面粗さが低減され、コバルト接触金属層420の堆積させたままの部分の抵抗が改善される。例示的なプラズマ形成ガスには、水素(H2)、窒素(N2)、アンモニア(NH3)、およびこれらの組合せが含まれる。プラズマ処理プロセス中、いくつかのプロセスパラメータも調節される。一実施形態では、プロセス圧力は、約7トル〜約30トルで制御される。処理温度は、摂氏約125度〜摂氏約250度である。RF電力は、約100ワット〜約800ワット、たとえば約400ワットで制御することができる。H2ガスなどのプラズマ形成ガスは、約3000sccm〜約5000sccm、たとえば約4000sccmで供給することができる。基板エッジ/基板底部から供給されるH2ガスは、約200sccm〜約1000sccmで制御することができる。Arガスは、基板エッジ/基板底部から約200sccm〜約1000sccmで供給することができる。
堆積中または堆積後のプラズマ処理は、堆積させたままの膜の表面粗さを低減させるのに役立ち、堆積させたままの膜内の炭素不純物を低減させるのに役立つことを示した。したがって、14nm以下のトランジスタ技術ノードに予期される特に狭い(15nm未満の限界寸法および5を上回るアスペクト比)ビアおよびトレンチ構造内のHラジカルの持続時間は、シームレスでボイドのないコバルト間隙充填を可能にするために重要なパラメータである。CVDプロセス中のチャンバ内のHラジカルの持続時間は、誘導結合されたプラズマ源、マイクロ波プラズマ源、または電子ビームプラズマ源を使用するプラズマ処理中、中でもHe、Ne、Arなどの不活性ガスを流すことによって改善することができる。プラズマ源は、Applied Materials,Inc.または他の販売業者から入手可能である。
ブロック530で基板をプラズマ処理プロセスに露出させた後、ブロック540で、堆積チャンバを任意選択でパージすることができる。ブロック540の任意選択のパージは、ブロック520に記載のパージプロセスと同様に実行することができる。
ブロック550で、所定の厚さの接触金属層420が実現されなかった場合、基板を堆積前駆体混合ガスに露出させることから始まり、それに続いてプラズマ前処理プロセスを行う追加のサイクルを、接触金属層420の所望の厚さ範囲に到達するまで繰返し実行することができる。所定の厚さの接触金属層が実現された場合、プロセスはブロック350へ進み、熱アニールプロセスが実行される。
たとえば、接触金属層の全体的な厚さが10nmであり、接触層のこの部分が2nm/サイクルで堆積される場合、(2nmの堆積に続いてプラズマ処理を行う)を5サイクル行う必要がある。
ブロック350で、基板402上の熱アニールチャンバ内で熱アニールプロセスを実行して、接触金属層420の特性を改善する。熱アニールチャンバは、必要に応じて、システム200の処理チャンバ212、214、216、232、234、236、238の1つとすることができる。一実施形態では、ブロック350で実行される熱アニールプロセスは、摂氏約200度〜摂氏約500度など、摂氏約200度〜摂氏約1400度の温度範囲を有することができる。熱アニールプロセス中、少なくとも水素含有ガスおよび/または不活性ガス(たとえば、アルゴン)を含む混合ガスがアニールチャンバ内へ供給される。混合ガスは、アニールプロセス前にチャンバがガスで充填される静的プロセス、またはアニールプロセス中に混合ガスがアニールチャンバを通って連続して流される連続流プロセスを使用して、アニールチャンバに供給することができる。
一実施形態では、350の熱アニールプロセスは、水素含有ガス、不活性ガス、および窒素含有ガスの少なくとも1つを含む混合ガスをアニールチャンバ内へ約100sccm〜約2000sccmの流量で供給し、約0.5トル〜約15トル、たとえば約5トル〜約8トルのチャンバ圧力を制御し、摂氏約150度〜摂氏約500度、たとえば摂氏約300度〜摂氏約475度の温度範囲を維持し、熱アニールプロセスを実行しながら、任意選択で約30秒〜約600秒にわたって基板を回転させることによって実行することができる。熱アニールチャンバ内に供給される混合ガスに適したガスの例は、必要に応じて、水素ガス、窒素含有ガス、不活性ガス(たとえば、アルゴン)、または他のガスを含むことができる。一実施形態では、シリサイド化プロセスを実行するために処理チャンバ内へ供給される混合ガスには、約1:3など、約1:10〜約1:1の流れ比で供給される水素ガス(H2)が含まれる。
ブロック350を実行することができる適した熱処理チャンバの一例は、Applied Materials,Inc.から入手可能なデュアルモードのガス抜き(DMD)チャンバである。適した熱処理チャンバの他の例は、Vantage(登録商標)のVulcan(商標)というRTPチャンバおよびVantage(登録商標)のAstra(商標)というDSAチャンバである。アニールプロセスは、必ずしも接触金属層420の堆積チャンバに組み込まれるとは限らないことに留意されたい。RTPおよびDSAアニールの使用により、温度の均一性および急速な温度変化のさらなる制御を提供することができる。他の製造業者から入手可能な他の熱アニールチャンバを利用して本発明を実行することもできることに留意されたい。
熱アニールプロセスが完了した後、ブロック360で、所定の厚さの接触金属層420が実現されなかった場合、ブロック340で周期的金属堆積を実行して接触金属層を堆積させることから始まり、それに続いてブロック350で接触金属層上でアニールプロセスを実行する追加のサイクルを、接触金属層420の所望の厚さ範囲に到達するまで繰返し実行することができる。所定の厚さの接触金属層が実現された場合、プロセスは完了し、追加の処理ステップを実行することができる。
したがって、前述の実施形態によれば、接触金属層を接触構造内に堆積させる方法が提供される。これらの方法は、堆積させたままの接触金属層をアニールすることによって、コンタクト孔をシームレスの接触金属層で充填するステップを含む。CVDコバルト膜をアニールする結果、ボトムアップ式のシームレスの間隙充填が行われる。特定の実施形態では、コバルトのリフローのために湿潤層が必要とされない。接触金属層(たとえば、CVDコバルト層)の厚さは、フィーチャ直径(限界寸法)の50%未満とすることができる。薄いコバルト膜の堆積と短時間のアニールの組合せを利用する周期的プロセスが使用される。短時間のアニール中の雰囲気により、シームレスのコバルト充填を実現するのに必要なアニール温度が低下する。ブランケットウエハの調査により、アニール処理後にコバルト膜の抵抗が50%低減されることが実証されている。アニールステップ中のアニール時間、温度、大気(使用されるガスの種類)、静的ガス圧力、またはガス流の変動を使用して、粗さを低減させ、接触金属層の抵抗を改善することができる。コバルトの抵抗および粗さを低減させるには、短いアニール時間(たとえば、1分)で十分である。アニール中のガス流により、コバルト膜の抵抗がさらに改善される。アニール大気には、アルゴンおよび水素ガスまたは両方の組合せを使用することができる。CVDコバルトの代わりに、PVDコバルトを利用することもできる。CVDとPVDの組合せは、CVDコバルトがPVDコバルトリフローに対する湿潤層として作用する場合に利用することもできる。
図6は、本発明の一実施形態による半導体デバイス内に接触金属層を形成する流れ図を示す。図6に記載のシーケンスは、以下で論じる図7A〜7Eに示す製造段階に対応する。図7A〜7Eは、処理シーケンス600によって示すデバイス構造408上に接触金属層420を製造する異なる段階中の基板402の概略横断面図を示し、基板402上にデバイス構造408が形成されている。図6のシーケンスは、概して、CVD、ALD、またはPVDによって堆積させたコバルト接触金属層を参照しながら提供される。
プロセス600の特定の態様は、図3を参照しながら説明したプロセス300に類似しており、話を簡潔にするため、以下では繰り返さない。一実施形態では、ブロック610および620は、上記の図3に示すブロック310および320に類似している。ブロック610および620は、それぞれ図7Aおよび図7Bに示す製造段階に対応する。図7Aおよび図7Bの詳細な議論は、図4Aおよび図4Bを参照すると見つけることができる。しかし、前処理プロセスを基板上で実行することは、ブロック620では任意選択とすることができる。
ブロック630は、図7Cに示すように、バリア層堆積を実行してバリア層416を基板402上に堆積させるステップを提供する。バリア層は、概して、チタン(Ti)、窒化チタン(TiN)、これらの合金、またはこれらの組合せなどの金属または窒化金属材料を含有する。バリア層416はまた、プラズマ窒化(N2またはNH3)TiおよびPVDコバルトを含むことができる。バリア層416が窒化Ti層を含む場合、上部数オングストロームのチタンだけがTiN化合物に変換される。酸化されていないTiおよびTiNバリア層は、改善された拡散抵抗を提供することが分かっている。バリア層416は、約2Å〜約100Åの範囲内、より狭くは約3Å〜約80Åの範囲内、より狭くは約4Å〜約50Åの範囲内、より狭くは約5Å〜約25Åの範囲内、より狭くは約5Å〜約20Åの範囲内、より狭くは約5Å〜約15Åの範囲内、およびより狭くは約5Å〜約10Åの範囲内の厚さを有することができる。バリア層は、概して、原子層堆積(ALD)、プラズマALD(PE−ALD)、化学気相堆積(CVD)、または物理的気相堆積(PVD)プロセスによって堆積される。
一実施形態では、バリア層堆積を実行することは、Ti含有前駆体を提供するステップを含むALDプロセスを含み、Ti含有前駆体は、不活性ガスなどのキャリアガスの存在下でチャンバへ提供することができる。別の実施形態では、Ti含有前駆体を窒素含有前駆体とともに提供して、TiNを含むバリア層を形成することができる。Ti含有前駆体および窒素含有前駆体は、不活性ガスなどのキャリアガスの存在下で提供することができる。別の実施形態では、堆積させたTi層上で窒化プロセスを実行して、TiNバリア層を形成することができる。別の実施形態では、Tiバリア層は、PVD Tiプロセスによって堆積される。
ブロック635で、図7Dに示すように、湿潤層堆積を実行して基板402上に湿潤層718を堆積させるステップを提供する。湿潤層718は、バリア層416の上に堆積される。湿潤層は、概して、PVD Co、CVD TiN、PVD TiN、CVD Ru、PVD Ru、PVD Tiの窒化、またはこれらの組合せから選択されるプロセスによって堆積される。CVDプロセスを使用して湿潤層718を堆積させる実施形態では、所望の前駆体ガスがチャンバに提供され、さらにキャリアガスの存在下で提供することができる。PVDプロセスを使用して湿潤層718を堆積させる実施形態では、堆積させるべき所望の材料を含むターゲットを提供し、PVDプロセスを実行してPVD湿潤層を堆積させる。一実施形態では、湿潤層はPVD TiNを含む。この実施形態では、Tiターゲットが提供され、イオンで衝撃を与えてTiをスパッタリングし、バリア層416の上に湿潤層718を堆積させる。プラズマの存在下でNH3などの窒素含有前駆体を使用する窒化プロセスをPVD Ti層上で実行し、TiN湿潤層718を形成する。この実施形態では、湿潤層718は窒化Ti層を含み、上部数オングストロームのチタンだけがTiN化合物に変換される。別の実施形態では、湿潤層はPVD Coである。この実施形態では、Coターゲットが提供され、イオンで衝撃を与えてCoをスパッタリングし、バリア層416の上に湿潤層718を堆積させる。PVD Coを使用する実施形態では、RF電力が約5500Wなど、約5000W〜約6000Wの周波数で提供される。PVD Coプロセスの電力は、約500Wなど、約400W〜約600Wで提供され、PVDCoプロセスを実行している間のチャンバの圧力は、約100mTなど、約50mT〜約150mTである。
TiまたはTiNの湿潤層は、後のCVD Co堆積プロセスと同じチャンバ(高真空下)内で堆積させることができることが分かるはずである。代替の実施形態では、アニール中のCVD Co膜の凝集は、CVD Co(異なる膜特性を有する)を湿潤層として使用することを伴った。このCVD Co湿潤層は、間隙充填の目的で使用されるCVD Co膜に対する1%未満の炭素と比較すると、炭素5%を上回る高い炭素原子%を含んだ。堆積ステップ中により低いH2分圧を使用して、周期的H2プラズマ処理をなくすことによって、高炭素含有率のCVD Co膜が得られた。
前述の湿潤層718のプロセスはいずれも、ブロック640で提供される後の接触金属層堆積プロセスとともに実行することができることに留意されたい。湿潤層718およびバリア層416は、概して、後の接触金属層堆積を強化する。基板上のフィーチャの底部またはフィーチャ内の他の場所に、ボイドが形成されることがあることが分かっている。ボイドは、凝集の結果として、または接触金属層がアニールされるときの接触金属層の蓄積の結果として、形成されると考えられる。基板と接触金属層との間のボイドは、接触の品質を最終的に低減させ、全体的なデバイス性能に悪影響を与えるため、ボイドは概して望ましくない。さらに、アニールプロセス中の接触金属層と下にある基板との間の相互拡散の結果、Coおよびシリコンの相互拡散が生じる。この相互拡散は、デバイス性能に悪影響を与え、予測できないデバイス挙動を招く。バリア層416は、単独で、または湿潤層718と組み合わせて、Coおよびシリコンの相互拡散を低減させる。さらに、湿潤層は、単独で、またはバリア層416と組み合わせて、デバイスのビアおよびトレンチを充填するために堆積されたとき、後のアニールプロセス中の凝集の可能性を低減させることによって、接触金属層の接着を強化する。
代替の実施形態では、アニールプロセス中のCVD Co膜の凝集で、CVD Coを湿潤層として使用することができる。このCVD Co湿潤層は、シームレスの間隙充填に使用されるCVD Co膜に対する低炭素含有率(1%未満の原子%)の炭素と比較すると、高炭素含有率(5%を上回る原子%)の炭素を含むことができる。堆積ステップ中により低いH2分圧を使用して、周期的H2プラズマ処理をなくすことによって、高炭素含有率のCVD Co膜が得られた。
ブロック640は、周期的金属堆積を実行して基板上に接触金属層を堆積させるステップを提供する。周期的金属堆積プロセスのプロセスパラメータおよび説明は、図3のブロック340および図5に関係する対応する説明に関連して上記に見ることができる。ブロック650は、基板上に配置された接触金属層上でアニールプロセスを実行するステップを提供する。アニールプロセスを実行するステップのプロセスパラメータおよび説明は、図3のブロック350を参照することによって得ることができる。
熱アニールプロセスが完了した後、ブロック660で、所定の厚さの接触金属層420が実現されなかった場合、ブロック640で周期的金属堆積を実行して接触金属層を堆積させることから始まり、それに続いてブロック650で接触金属層上でアニールプロセスを実行する追加のサイクルを、接触金属層420の所望の厚さ範囲に到達するまで繰返し実行することができる。所定の厚さの接触金属層が実現された場合、プロセスは完了し、追加の処理ステップを実行することができる。
上記のように、図6に記載のプロセスシーケンス600は、CVD、ALD、またはPVDの接触金属堆積プロセスを参照することによって得ることができる。組み込まれている(酸化されていない)CVDまたはALD TiNバリア層418は、デバイスフィーチャの底部でボイドの存在を低減させた。湿潤層718の堆積後または接触金属層420の堆積後で、ブロック650のアニールプロセスを実行する前に、真空解消器を導入することができる。ブロック650のアニールプロセスは、接触金属層420を堆積させたチャンバ以外のチャンバ内で実行することもできることに留意されたい。さらに、ブロック640で提供される高周波のH2プラズマ処理(20Å以下のCVD Co厚さにおけるプラズマ処理)(関連するプラズマ処理パラメータは図5参照)は、デバイスフィーチャの底部でボイド形成をなくす際に大きな役割を果たしたことが分かった。最後に、CVDまたはALDの接触金属層のリフロー特性は、プロセスシーケンス600で提供された前述のプロセス変数によって不純物(すなわち、炭素、酸素、窒素など)の原子パーセントを制御することによって調節することができることが分かっている。シームレスの接触金属層の間隙充填、より具体的には、シームレスのコバルト間隙充填を可能にするには、炭素不純物レベルを1パーセント以下にすることが必要になることがある。接触金属層堆積のプロセス変数に加えて、バリア層418および湿潤層718によって、不純物レベルをさらに制御することができる。
図8は、本発明の一実施形態による半導体デバイス内に接触金属層を形成する流れ図を示す。図8に記載のシーケンスは、以下で論じる図7A〜7Eに示す製造段階に対応する。図7A〜7Eは、処理シーケンス800によって示すデバイス構造408上に接触金属層420を製造する異なる段階中の基板402の概略横断面図を示し、基板402上にデバイス構造408が形成されている。図8のシーケンスは、概して、PVDによって堆積させたコバルト接触金属層を参照しながら提供される。
処理シーケンス800は、ブロック810で基板を設けることによって始まる。ブロック810の詳細な説明は、図3のブロック310および図6のブロック610に関係する説明を参照することによって得ることができる。ブロック820は、基板上で前処理プロセスを任意選択で実行するステップを提供する。ブロック820に関係する詳細な説明は、図3のブロック320および図6のブロック620に関係する説明を参照することによって得ることができる。
ブロック830は、バリア層堆積を実行して基板上にバリア層を堆積させるステップを提供する。バリア層416に関する概略的な説明は、図6のブロック630を参照することによって得ることができる。一実施形態では、上記のTiNバリア層416などのTiNバリア層が、基板上に配置される。この実施形態では、TiN層は、約50Åなど、約5Å〜約75Åの厚さで提供される。しかし、10ÅのTiN層でもバリア層として十分になりうることを示した。TiNバリア層416は、事前に堆積させたTi層のNH3もしくはN2による窒化によって、またはCVD堆積プロセスによって形成される。TiNバリア層416の堆積に対する処理パラメータは、図6のブロック630を参照することによって見ることができる。
ブロック835は、湿潤層堆積を実行して基板上に湿潤層を堆積させるステップを提供する。湿潤層718の概略的な説明は、図6のブロック635を参照することによって得ることができる。一実施形態では、湿潤層718は、CVDまたはALDプロセスによって堆積される。湿潤層718を提供するステップに適したプロセスには、CVD TiN、CVD Co、CVD Ru、ALD TaN、およびこれらの組合せが含まれる。一実施形態では、湿潤層は、CVD Coプロセスによって堆積させることができる。CVDプロセス中に堆積させたコバルトは、図3に提供した周期的金属堆積プロセスを参照しながら論じたコバルト含有前駆体などのコバルト含有前駆体によって、処理チャンバに提供される。一実施形態では、コバルト含有前駆体は、熱堆積プロセス内のチャンバに提供される。熱堆積プロセスは、概して、基板402を加熱して基板402の表面上のコバルトの堆積を促進させるステップを含む。一実施形態では、熱堆積プロセスは、約150℃など、約100℃〜約200℃で基板を加熱するステップを提供する。この実施形態では、CVD Coプロセス中に堆積させたコバルトは、バリア層416の上に配置された湿潤層718である。
ブロック840は、湿潤層718上でアニールプロセスを実行するステップを提供する。アニールプロセスは、概して、湿潤層718の表面粗さを低減させ、結晶構造の粒径を増大させ、かつ湿潤層718内に存在しうる炭素などの不純物を低減させるために実行される。アニールプロセスは、約400℃など、約200℃〜約500℃の温度で実行される。アニールプロセスは、チャンバ内にアルゴンなどの不活性ガスが提供されるチャンバ環境内で実行することができる。一実施形態では、アルゴンガスは、チャンバ内で静的であり、湿潤層718のアニールが実行された後、チャンバを任意選択でパージすることができる。一実施形態では、アニールプロセスは、約30秒〜約90秒など、約10秒〜約1000秒、たとえば約60秒の持続時間にわたって実行される。別の実施形態では、アニールプロセスは、H2ガスが静的または流動的にチャンバに提供されるチャンバ環境内で実行することができる。この実施形態では、アニールプロセスは、約10秒〜約1000秒の持続時間にわたって実行することができる。他の実施形態では、アニールプロセスは、アルゴンガスおよびH2ガスを提供できる状態で実行することができる。
ブロック850は、金属堆積プロセスを実行して基板上に接触金属層420を堆積させるステップを提供する。一実施形態では、接触金属層420は、PVD Coプロセスによって堆積される。PVD Coプロセスは、さらに、熱PVD Coプロセスとすることができる。コバルトは、従来のプロセスを使用してスパッタリングされ、一実施形態では、スパッタリングプロセスは、アルゴンまたはH2などのプロセスガスの存在下で実行される。一実施形態では、PVD Coプロセスは、約5500Wなど、約5000W〜約6000Wの周波数でRF電力を提供することによって実行することができる。RFは、約500Wなど、約250W〜約750Wの電力の直流電流で提供することができる。PVD Coプロセス中のチャンバの圧力は、約100ミリトルなど、約50ミリトル〜約200ミリトルの圧力で維持することができる。コバルトが基板にスパッタリングされた後、基板に熱を提供して堆積させたままのコバルトをリフローすることによって、コバルトをリフローすることができる。一実施形態では、PVD Coリフローは、約200℃〜約500℃の温度まで基板を加熱することによって実行することができる。PVD Coプロセスが用いられる実施形態では、処理に必要な温度まで基板を加熱する能力をチャンバが有する場合、接触金属層420の堆積とアニールの両方を同じチャンバ内で実行することができる。
ブロック860は、接触金属層420をプラズマ処理プロセスに露出させるステップを提供する。プラズマ処理プロセスは、概して、H2などのプロセスガスをチャンバに提供するステップと、RF電流を印加してプロセスガスからプラズマを形成するステップとを含む。一実施形態では、RF電流の周波数は、約400Wなど、約200W〜約800Wで提供される。プラズマ処理プロセスは、約30秒など、約1秒〜約60秒にわたって実行される。一実施形態では、基板402を約150℃など、約100℃〜約200℃の温度まで加熱して、接触金属層420の表面粗さをさらに低減させ、接触金属層420内に存在しうる不純物の割合を低減させることができる。
ブロック870は、基板402上に配置された接触金属層420上でアニールプロセスを実行するステップを提供する。アニールプロセスは、概して、接触金属層420の表面粗さを低減させ、接触金属層420内に存在しうる炭素などの不純物を低減させるために実行される。さらに、アニールプロセスは、結晶の粒径を増大させ、その結果、抵抗がより小さくなり、集積回路の性能が改善される。アニールプロセスは、約400℃など、約200℃〜約500℃の温度で実行される。アニールプロセスは、チャンバ内にアルゴンなどの不活性ガスおよびH2などのプロセスガスが提供されるチャンバ環境内でさらに実行される。一実施形態では、アルゴンおよびH2ガスは、チャンバ内で流動しており、接触金属層420のアニールが実行された後、チャンバを任意選択でパージすることができる。一実施形態では、アニールプロセスは、約60秒など、約30秒〜約90秒にわたって実行される。
上記の実施形態では、基板を加熱するステップを提供するチャンバ内でCo堆積およびアニールプロセスが実行される場合、周期的金属堆積プロセスを行うことなくPVD Coプロセスを実行することができる。代替実施形態では、フィーチャの底部にPVD Co層を堆積させることができ、フィーチャの側壁上でエッチングおよび再スパッタリングして、側壁上に連続するコバルト膜を提供することができ、それによってこの領域からフィーチャの底部へのPVD Coのリフローを可能にする。接触金属層420の堆積は、接触金属層420の後の化学機械研磨に必要とされる十分な膜厚さを得るために実行される。
別の実施形態では、CVD Co湿潤層718後に堆積させた接触金属層420は、タングステン(W)を含むことができる。この実施形態は、概して、デュアルダマシン型の構造とともに使用され、フィーチャの下部部分は、小さい限界寸法および積極的なアスペクト比を呈する。デュアルダマシン型の構造の上部部分は、概して、下部部分と比較すると、より大きい限界寸法およびそれほど強くないアスペクト比を有する。この実施形態では、追加の接触金属層堆積の難題を呈する下部部分は、上記のようにCVD Coプロセスで充填することができる。CVD Coプロセスは、フィーチャの下部部分を充填する。CVD Co堆積に続いて、CVD Wプロセスを実行してフィーチャの残り部分を充填することができる。CVD Wプロセスは、概して、CVD Coプロセスより速い速度で材料を堆積させ、したがってスループットの増大を可能にする。
上記は本発明の実施形態を対象とするが、本発明の基本的な範囲から逸脱することなく、本発明の他のさらなる実施形態を考案することもでき、本発明の範囲は、以下の特許請求の範囲によって決定される。

Claims (14)

  1. 接触金属層を堆積させて半導体デバイス内に接触構造を形成する方法であって、
    周期的金属堆積プロセスを実行して基板上にコバルト接触層を堆積させるステップであって、前記周期的金属堆積プロセスが、
    コバルト含有前駆体および還元ガスを含む堆積前駆体混合ガスに前記基板を露出させて、前記基板上に前記コバルト接触層の一部分を堆積させるステップ、
    前記コバルト接触層の前記部分をプラズマ処理プロセスに露出させるステップ、および
    所定の厚さの前記コバルト接触層が実現されるまで、コバルト含有前駆体および還元ガスを含む堆積前駆体混合ガスに前記基板を露出させる前記ステップおよび前記コバルト接触層の前記部分をプラズマ処理プロセスに露出させる前記ステップを繰り返すステップを含む、堆積させるステップと、
    前記基板上に配置された前記コバルト接触層をアニールするステップとを含む、方法。
  2. 前記コバルト含有前駆体がジコバルトヘキサカルボニルブチルアセチレン(CCTBA)であり、前記還元ガスが水素(H2)である、請求項1に記載の方法。
  3. 周期的金属堆積プロセスを実行する前記ステップ前に、NH3を含む前処理ガスを供給して前記基板を前処理するステップ
    をさらに含む、請求項1に記載の方法。
  4. 前記基板上に少なくともシリコン含有層が配置され、前記シリコン含有層内に開口が形成され、前記コバルト接触層が、前記シリコン含有層内に形成された前記開口内に充填される、請求項1に記載の方法。
  5. 前記基板上に配置された前記コバルト接触層をアニールするステップが、
    不活性ガスおよび水素ガス(H2)の少なくとも1つを含む混合ガスを供給しながら、前記コバルト接触層に熱エネルギーを提供するステップをさらに含む、請求項1に記載の方法。
  6. 所定の厚さの前記コバルト接触層が実現されるまで、周期的金属堆積プロセスを実行する前記ステップおよび前記基板上に配置された前記コバルト接触層をアニールする前記ステップを繰り返すステップ
    をさらに含む、請求項1に記載の方法。
  7. コバルト含有前駆体および還元ガスを含む堆積前駆体混合ガスに前記基板を露出させて前記基板上に前記コバルト接触層の一部分を堆積させる前記ステップ、ならびに前記コバルト接触層の前記部分をプラズマ処理プロセスに露出させる前記ステップが、同時に実行される、請求項1に記載の方法。
  8. 前記コバルト接触層の前記部分をプラズマ処理プロセスに露出させる前記ステップが、水素(H2)、窒素(N2)、アンモニア(NH3)、およびこれらの組合せから選択されたガスを供給して、前記コバルト接触層の前記部分の粗さを低減させるステップを含む、請求項1に記載の方法。
  9. バリア層堆積プロセスを実行して基板上にバリア層を堆積させるステップと、
    湿潤層堆積を実行して前記基板上に湿潤層を堆積させるステップと
    をさらに含む、請求項1に記載の方法
  10. 所定の厚さの前記コバルト接触層が実現されるまで、周期的金属堆積プロセスを実行する前記ステップおよび前記基板上に配置された前記コバルト接触層をアニールする前記ステップを繰り返すステップ
    をさらに含む、請求項9に記載の方法。
  11. 前記湿潤層が、PVD Co、CVD TiN、PVD TiN、CVD Ru、PVD Ru、PVD Tiの窒化、またはこれらの組合せから選択されたプロセスによって堆積され、前記基板および前記コバルト接触層の相互拡散を防止し、前記基板に対する前記コバルト接触層の接着を増大させる、請求項9に記載の方法。
  12. 接触金属層を堆積させて半導体デバイス内に接触構造を形成する方法であって、
    バリア層堆積プロセスを実行して基板上にバリア層を堆積させるステップと、
    湿潤層堆積プロセスを実行して前記基板上に湿潤層を堆積させるステップと、
    前記湿潤層上でアニールプロセスを実行するステップと、
    堆積前駆体混合ガスにコバルト接触層を露出させて前記基板上に前記コバルト接触層の一部分を堆積させることによって、金属堆積プロセスを実行して前記基板上に前記コバルト接触層を堆積させるステップと、
    前記コバルト接触層の前記部分をプラズマ処理プロセスに露出させるステップと、
    前記基板上に配置された前記コバルト接触層をアニールするステップとを含む方法。
  13. 湿潤層堆積を実行する前記ステップが、酸化されていないTiもしくはTiN層、CVD Co層、またはPVD Co層を堆積させるステップを含む、請求項12に記載の方法。
  14. 金属堆積プロセスを実行する前記ステップが、PVD Co層、CVD Co層、またはCVD W層を堆積させるステップを含む、請求項12に記載の方法。
JP2017162204A 2012-03-28 2017-08-25 シームレスのコバルト間隙充填を可能にする方法 Active JP6502440B2 (ja)

Priority Applications (1)

Application Number Priority Date Filing Date Title
JP2019052647A JP6962955B2 (ja) 2012-03-28 2019-03-20 シームレスのコバルト間隙充填を可能にする方法

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261616842P 2012-03-28 2012-03-28
US61/616,842 2012-03-28
US13/786,644 US9330939B2 (en) 2012-03-28 2013-03-06 Method of enabling seamless cobalt gap-fill
US13/786,644 2013-03-06

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
JP2015503411A Division JP6200486B2 (ja) 2012-03-28 2013-03-22 シームレスのコバルト間隙充填を可能にする方法

Related Child Applications (1)

Application Number Title Priority Date Filing Date
JP2019052647A Division JP6962955B2 (ja) 2012-03-28 2019-03-20 シームレスのコバルト間隙充填を可能にする方法

Publications (2)

Publication Number Publication Date
JP2017212466A true JP2017212466A (ja) 2017-11-30
JP6502440B2 JP6502440B2 (ja) 2019-04-17

Family

ID=49235580

Family Applications (3)

Application Number Title Priority Date Filing Date
JP2015503411A Active JP6200486B2 (ja) 2012-03-28 2013-03-22 シームレスのコバルト間隙充填を可能にする方法
JP2017162204A Active JP6502440B2 (ja) 2012-03-28 2017-08-25 シームレスのコバルト間隙充填を可能にする方法
JP2019052647A Active JP6962955B2 (ja) 2012-03-28 2019-03-20 シームレスのコバルト間隙充填を可能にする方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
JP2015503411A Active JP6200486B2 (ja) 2012-03-28 2013-03-22 シームレスのコバルト間隙充填を可能にする方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
JP2019052647A Active JP6962955B2 (ja) 2012-03-28 2019-03-20 シームレスのコバルト間隙充填を可能にする方法

Country Status (7)

Country Link
US (3) US9330939B2 (ja)
EP (2) EP3686920A3 (ja)
JP (3) JP6200486B2 (ja)
KR (3) KR101808209B1 (ja)
CN (2) CN106887380B (ja)
TW (1) TWI579961B (ja)
WO (1) WO2013148490A1 (ja)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021186562A1 (ja) * 2020-03-17 2021-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Families Citing this family (87)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
WO2014052316A1 (en) 2012-09-25 2014-04-03 Advanced Technology Materials, Inc. Cobalt precursors for low temperature ald or cvd of cobalt-based thin films
TWI600786B (zh) * 2013-05-01 2017-10-01 應用材料股份有限公司 用於腔室清潔或預清潔製程之鈷移除
CN110066984B (zh) 2013-09-27 2021-06-08 应用材料公司 实现无缝钴间隙填充的方法
US9287170B2 (en) * 2013-11-27 2016-03-15 Taiwan Semiconductor Manufacturing Company Limited Contact structure and formation thereof
US9997457B2 (en) 2013-12-20 2018-06-12 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9153482B2 (en) 2014-02-03 2015-10-06 Lam Research Corporation Methods and apparatus for selective deposition of cobalt in semiconductor processing
WO2015126590A1 (en) * 2014-02-18 2015-08-27 Applied Materials, Inc. Hermetic cvd-cap with improved step coverage in high aspect ratio structures
KR102383971B1 (ko) 2014-02-23 2022-04-06 엔테그리스, 아이엔씨. 코발트 전구체
KR102398920B1 (ko) 2014-04-07 2022-05-17 엔테그리스, 아이엔씨. 코발트 cvd
CN106463358B (zh) * 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9349637B2 (en) * 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
KR102487441B1 (ko) * 2014-09-14 2023-01-12 엔테그리스, 아이엔씨. 구리 및 유전체 상의 코발트 침착 선택성
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US10014179B2 (en) * 2015-02-13 2018-07-03 Applied Materials, Inc. Methods for forming cobalt-copper selective fill for an interconnect
US11384432B2 (en) 2015-04-22 2022-07-12 Applied Materials, Inc. Atomic layer deposition chamber with funnel-shaped gas dispersion channel and gas distribution plate
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) * 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
KR102467848B1 (ko) * 2015-10-12 2022-11-16 삼성전자주식회사 집적회로 소자 및 그 제조 방법
US9741577B2 (en) 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
WO2017127197A1 (en) * 2016-01-21 2017-07-27 Applied Materials, Inc. Process and chemistry of plating of through silicon vias
US10446496B2 (en) * 2016-02-17 2019-10-15 International Business Machines Corporation Self-forming barrier for cobalt interconnects
US9576901B1 (en) 2016-02-25 2017-02-21 International Business Machines Corporation Contact area structure and method for manufacturing the same
US10438849B2 (en) * 2016-04-25 2019-10-08 Applied Materials, Inc. Microwave anneal to improve CVD metal gap-fill and throughput
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
US10049927B2 (en) * 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI729457B (zh) * 2016-06-14 2021-06-01 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9859157B1 (en) 2016-07-14 2018-01-02 International Business Machines Corporation Method for forming improved liner layer and semiconductor device including the same
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US9859215B1 (en) 2016-08-17 2018-01-02 International Business Machines Corporation Formation of advanced interconnects
US9852990B1 (en) 2016-08-17 2017-12-26 International Business Machines Corporation Cobalt first layer advanced metallization for interconnects
US9716063B1 (en) 2016-08-17 2017-07-25 International Business Machines Corporation Cobalt top layer advanced metallization for interconnects
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US9941212B2 (en) 2016-08-17 2018-04-10 International Business Machines Corporation Nitridized ruthenium layer for formation of cobalt interconnects
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
KR102275419B1 (ko) * 2016-11-23 2021-07-09 엔테그리스, 아이엔씨. 코발트의 화학적 증착을 위한 할로알키닐 디코발트 헥사카보닐 전구체
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
KR102654482B1 (ko) 2016-12-06 2024-04-03 삼성전자주식회사 반도체 장치 및 이의 제조 방법
KR20180068595A (ko) 2016-12-14 2018-06-22 삼성전자주식회사 반도체 장치
US10128151B2 (en) 2016-12-16 2018-11-13 Globalfoundries Inc. Devices and methods of cobalt fill metallization
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US10177030B2 (en) 2017-01-11 2019-01-08 International Business Machines Corporation Cobalt contact and interconnect structures
CN106929821B (zh) * 2017-01-17 2019-12-20 复旦大学 一种金属含量可调的金属氮化物薄膜的制备方法及反应器
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
KR101914038B1 (ko) * 2017-02-02 2018-11-01 주식회사 에이치피에스피 3차원 플래시 메모리 소자의 제조방법
JP6586433B2 (ja) * 2017-03-30 2019-10-02 株式会社Kokusai Electric 基板処理方法、基板処理装置、プログラム
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
KR102579245B1 (ko) 2017-04-07 2023-09-14 어플라이드 머티어리얼스, 인코포레이티드 비정질 실리콘 갭충전을 개선하기 위한 표면 개질
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
JP7213827B2 (ja) * 2017-04-24 2023-01-27 アプライド マテリアルズ インコーポレイテッド 高アスペクト比構造における間隙充填方法
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019036157A1 (en) 2017-08-18 2019-02-21 Applied Materials, Inc. HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
SG11202003355QA (en) 2017-11-11 2020-05-28 Micromaterials Llc Gas delivery system for high pressure processing chamber
WO2019099255A2 (en) 2017-11-17 2019-05-23 Applied Materials, Inc. Condenser system for high pressure processing system
KR102629160B1 (ko) 2018-01-29 2024-01-29 어플라이드 머티어리얼스, 인코포레이티드 광학 디바이스 향상을 위한 습윤 층들
US10204828B1 (en) 2018-02-09 2019-02-12 International Business Machines Corporation Enabling low resistance gates and contacts integrated with bilayer dielectrics
JP7239598B2 (ja) 2018-03-09 2023-03-14 アプライド マテリアルズ インコーポレイテッド 金属含有材料の高圧アニーリングプロセス
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
JP7443250B2 (ja) * 2018-05-16 2024-03-05 アプライド マテリアルズ インコーポレイテッド 原子層自己整合基板の処理及び統合型ツールセット
TWI740046B (zh) * 2018-05-28 2021-09-21 國立清華大學 原子層沉積方法及鈷金屬膜
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP2022502845A (ja) * 2018-09-26 2022-01-11 アプライド マテリアルズ インコーポレイテッドApplied Materials, Incorporated ガス分配アセンブリおよびその動作
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
US20200144056A1 (en) * 2018-11-03 2020-05-07 Applied Materials, Inc. Method of forming a cobalt layer on a substrate
CN113166929A (zh) 2018-12-05 2021-07-23 朗姆研究公司 无空隙低应力填充
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11355391B2 (en) * 2019-03-18 2022-06-07 Applied Materials, Inc. Method for forming a metal gapfill
US10961624B2 (en) * 2019-04-02 2021-03-30 Gelest Technologies, Inc. Process for pulsed thin film deposition
KR20200124351A (ko) * 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
CN112563143B (zh) * 2019-09-25 2022-03-22 长鑫存储技术有限公司 半导体结构制造方法
US11101174B2 (en) * 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
WO2021080726A1 (en) 2019-10-21 2021-04-29 Applied Materials, Inc. Method of depositing layers
CN114929925A (zh) * 2019-12-30 2022-08-19 恩特格里斯公司 形成有氟化镁区域的金属体
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11955370B2 (en) * 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US20220165852A1 (en) * 2020-11-23 2022-05-26 Applied Materials, Inc. Methods and apparatus for metal fill in metal gate stack
CN113078102B (zh) * 2021-03-24 2022-04-29 长鑫存储技术有限公司 半导体结构的制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014365A1 (en) * 2003-07-15 2005-01-20 Moon Kwang-Jin Methods of forming cobalt layers for semiconductor devices
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP2010212452A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
JP2011523780A (ja) * 2008-05-21 2011-08-18 インターナショナル・ビジネス・マシーンズ・コーポレーション 導電性コンタクトの組み込みのための構造体及びプロセス
JP2012501543A (ja) * 2008-08-29 2012-01-19 アプライド マテリアルズ インコーポレイテッド 障壁表面上のコバルト堆積
WO2012024056A2 (en) * 2010-08-20 2012-02-23 Micron Technology, Inc. Semiconductor constructions; and methods for providing electrically conductive material within openings

Family Cites Families (85)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US5918149A (en) * 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4237332B2 (ja) * 1999-04-30 2009-03-11 株式会社東芝 半導体装置の製造方法
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) * 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
WO2001066832A2 (en) 2000-03-07 2001-09-13 Asm America, Inc. Graded thin films
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6403478B1 (en) * 2000-08-31 2002-06-11 Chartered Semiconductor Manufacturing Company Low pre-heat pressure CVD TiN process
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
KR100433846B1 (ko) * 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US8110489B2 (en) * 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US7279432B2 (en) * 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7910165B2 (en) * 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) * 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) * 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
US7429402B2 (en) * 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20070087573A1 (en) * 2005-10-19 2007-04-19 Yi-Yiing Chiang Pre-treatment method for physical vapor deposition of metal layer and method of forming metal silicide layer
US7432200B2 (en) * 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US20080132050A1 (en) * 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US7843063B2 (en) * 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
KR20090103058A (ko) 2008-03-27 2009-10-01 주식회사 하이닉스반도체 반도체 소자 및 이의 제조 방법
US20090269507A1 (en) * 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
US8519541B2 (en) * 2008-08-14 2013-08-27 Macronix International Co., Ltd. Semiconductor device having plural conductive layers disposed within dielectric layer
JP2010080798A (ja) * 2008-09-29 2010-04-08 Renesas Technology Corp 半導体集積回路装置および半導体集積回路装置の製造方法
US20100096253A1 (en) * 2008-10-22 2010-04-22 Applied Materials, Inc Pvd cu seed overhang re-sputtering with enhanced cu ionization
KR20110084275A (ko) * 2008-10-27 2011-07-21 어플라이드 머티어리얼스, 인코포레이티드 삼원 화합물의 기상 증착 방법
WO2011027834A1 (ja) * 2009-09-02 2011-03-10 株式会社アルバック Co膜の形成方法及びCu配線膜の形成方法
US8691687B2 (en) * 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US20110204518A1 (en) * 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
US9129945B2 (en) * 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
JP2012089744A (ja) * 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) * 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9368603B2 (en) * 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
US8546227B2 (en) * 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050014365A1 (en) * 2003-07-15 2005-01-20 Moon Kwang-Jin Methods of forming cobalt layers for semiconductor devices
US20080085611A1 (en) * 2006-10-09 2008-04-10 Amit Khandelwal Deposition and densification process for titanium nitride barrier layers
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP2011523780A (ja) * 2008-05-21 2011-08-18 インターナショナル・ビジネス・マシーンズ・コーポレーション 導電性コンタクトの組み込みのための構造体及びプロセス
JP2012501543A (ja) * 2008-08-29 2012-01-19 アプライド マテリアルズ インコーポレイテッド 障壁表面上のコバルト堆積
JP2010212452A (ja) * 2009-03-10 2010-09-24 Tokyo Electron Ltd Cu膜の成膜方法および記憶媒体
WO2012024056A2 (en) * 2010-08-20 2012-02-23 Micron Technology, Inc. Semiconductor constructions; and methods for providing electrically conductive material within openings
JP2013534370A (ja) * 2010-08-20 2013-09-02 マイクロン テクノロジー, インク. 半導体構造ならびに導電性材料を開口内に提供するための方法

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2021186562A1 (ja) * 2020-03-17 2021-09-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム

Also Published As

Publication number Publication date
CN104205302A (zh) 2014-12-10
US9842769B2 (en) 2017-12-12
KR101808209B1 (ko) 2017-12-12
JP6200486B2 (ja) 2017-09-20
US9330939B2 (en) 2016-05-03
KR20190105141A (ko) 2019-09-11
WO2013148490A4 (en) 2013-11-21
EP2831907A4 (en) 2016-07-13
US10269633B2 (en) 2019-04-23
KR102021131B1 (ko) 2019-09-11
EP3686920A2 (en) 2020-07-29
CN106887380B (zh) 2020-03-17
EP2831907A1 (en) 2015-02-04
TWI579961B (zh) 2017-04-21
JP6502440B2 (ja) 2019-04-17
TW201409613A (zh) 2014-03-01
EP3686920A3 (en) 2021-12-08
US20130260555A1 (en) 2013-10-03
KR20140143184A (ko) 2014-12-15
WO2013148490A1 (en) 2013-10-03
US20160247718A1 (en) 2016-08-25
KR102139238B1 (ko) 2020-07-29
EP2831907B1 (en) 2020-03-11
JP6962955B2 (ja) 2021-11-05
JP2019106549A (ja) 2019-06-27
JP2015519725A (ja) 2015-07-09
CN106887380A (zh) 2017-06-23
KR20170137960A (ko) 2017-12-13
US20180068890A1 (en) 2018-03-08
CN104205302B (zh) 2017-04-05

Similar Documents

Publication Publication Date Title
JP6502440B2 (ja) シームレスのコバルト間隙充填を可能にする方法
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
KR102565626B1 (ko) 루테늄 도핑에 의한 증진된 코발트 내응집성 및 갭 충전 성능
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20170925

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20170925

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20180501

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20180427

A601 Written request for extension of time

Free format text: JAPANESE INTERMEDIATE CODE: A601

Effective date: 20180801

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20181001

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20181022

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20190122

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20190218

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20190320

R150 Certificate of patent or registration of utility model

Ref document number: 6502440

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250

R250 Receipt of annual fees

Free format text: JAPANESE INTERMEDIATE CODE: R250