TWI758398B - 用於在基板上形成鈷層的方法 - Google Patents

用於在基板上形成鈷層的方法 Download PDF

Info

Publication number
TWI758398B
TWI758398B TW107101636A TW107101636A TWI758398B TW I758398 B TWI758398 B TW I758398B TW 107101636 A TW107101636 A TW 107101636A TW 107101636 A TW107101636 A TW 107101636A TW I758398 B TWI758398 B TW I758398B
Authority
TW
Taiwan
Prior art keywords
cobalt
layer
ruthenium
exposing
substrate
Prior art date
Application number
TW107101636A
Other languages
English (en)
Other versions
TW201842219A (zh
Inventor
吳智遠
尼可拉奧斯 貝奇亞里斯
美荷B 那克
朴真希
馬克炫 李
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201842219A publication Critical patent/TW201842219A/zh
Application granted granted Critical
Publication of TWI758398B publication Critical patent/TWI758398B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • H01L21/2885Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition using an external electrical current, i.e. electro-deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76882Reflowing or applying of pressure to better fill the contact hole
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53242Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a noble metal, e.g. gold
    • H01L23/53252Additional layers associated with noble-metal layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67184Apparatus for manufacturing or treating in a plurality of work-stations characterized by the presence of more than one transfer chamber

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Geometry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Vapour Deposition (AREA)
  • Chemically Coating (AREA)
  • Physical Vapour Deposition (AREA)

Abstract

在一個實施例中,提供一種在基板上形成鈷層的方法。方法包含在基板上形成阻障層及(或)襯墊層,基板具有形成在基板的第一表面中的特徵結構定義,其中阻障層及(或)襯墊層係形成在特徵結構定義的側壁與底表面上。方法進一步包含將基板暴露至釕前驅物,以在阻障層及(或)襯墊層上形成含釕層。方法進一步包含將基板暴露至鈷前驅物,以在含釕層頂上形成鈷種晶層。方法進一步包含在鈷種晶層上形成鈷體層,以填充特徵結構定義。

Description

用於在基板上形成鈷層的方法
本揭示內容的實施例大抵相關於處理基板的方法,且特定而言相關於鈷縫隙填充的方法。
微電子裝置,諸如微型電子裝置、微型機電裝置或光學裝置,一般而言被製造於基板(諸如矽晶圓)上及(或)基板中。在典型的製造處理中(例如在半導體材料晶圓上),首先使用化學氣相沈積(CVD)、物理氣相沈積(PVD)、無電式電鍍處理、或其他適合的方法,以將導電層施加到基板表面上。在形成導電層後,藉由在導電層與一或更多個電極之間施加適當的電位,以在包含金屬離子的電處理溶液的存在之下,將金屬層鍍至基板上。接著,在隨後的程序中清潔及(或)退火基板,以形成裝置、接點或導線。一些基板可具有阻障層及(或)襯墊層,而導電層形成在阻障層及(或)襯墊層上。
當前大多數的微電子裝置,被製造在鍍銅(Cu)的基板上。儘管銅具有高導電性,但銅通常需要厚的阻障層及(或)襯墊層(諸如氮化鉭(TaN)),以防止銅擴散進入基板(或基板上的介電材料)。這些類型的阻障層及(或)襯墊層具有相當低的導電性。使用已知的 技術,使用酸性銅電鍍溶液用電鍍銅填充基板上的特徵結構。這些電鍍溶液時常使用添加劑來促進超保形填充處理(主要從底部往上填充特徵結構,而不是從側面向內填充),以形成無孔隙填充。隨著特徵結構尺寸縮小,使用傳統的銅電鍍處理達成無孔隙填充變得更為困難。此外,隨著特徵結構變小,對於銅的阻障層及(或)襯墊層佔用較大的容積(相對於較小的特徵),因為要維持與特徵尺寸無關的最小阻障層厚度以防止銅擴散。
例如,若需要3nm的最小阻障層及(或)襯墊層厚度以防止銅擴散,則對於深寬比為4:1的具有60nm臨界尺寸的特徵結構而言,阻障層及(或)襯墊層佔用了約11%的截面面積。然而,對於深寬比為2:1的具有20nm臨界尺寸的特徵結構,阻障層及(或)襯墊層維持3nm厚,這時阻障層及(或)襯墊層佔用了約33%的截面面積。在此情況中,阻障層及(或)襯墊層(具有低傳導性)的容積的比例較高,且因此互連結構、通孔或其他特徵結構的電阻值的比例較高。隨著特徵結構逐漸變小,銅與阻障層及(或)襯墊層的比例提升,而最終使得電阻值變得無法接受。
為了解決此類技術挑戰所提出的一種作法,為由不需要厚阻障層及(或)襯墊層的金屬替換銅,諸如鈷(Co)。儘管鈷的電阻係數比銅高(6.2μOhm-cm對1.7μOhm-cm),但鈷不需要厚阻障層及(或)襯墊層以防止擴散進入矽或介電質。然而在當前的處理溫度下,鈷團 聚(cobalt agglomeration)產生了問題。此鈷團聚造成最終結構中形成孔隙,這不僅提升了電阻值,亦降低了最終結構的可靠性。
因此需要新的技術以由鈷進行保形且無缺陷的窄特徵結構填充。
本揭示內容的實施例大抵相關於處理基板的方法,且特定而言相關於鈷縫隙填充的方法。在一個實施例中,提供一種在基板上形成鈷層的方法。方法包含將具有特徵結構定義的基板暴露至釕前驅物,特徵結構定義被形成在基板的第一表面中,以在基板的第一表面以及特徵結構定義的側壁與底表面上形成含釕層。方法進一步包含將基板暴露至鈷前驅物,以在含釕層頂上形成鈷種晶層。
在另一實施例中,提供一種在基板上形成鈷層的方法。方法包含在基板上形成阻障層及(或)襯墊層,基板具有形成在基板的第一表面中的特徵結構定義。阻障層及(或)襯墊層被形成在特徵結構定義的側壁與底表面上。方法進一步包含將基板暴露至釕前驅物,以在阻障層及(或)襯墊層上形成含釕層。方法進一步包含將基板暴露至鈷前驅物,以在含釕層頂上形成鈷種晶層。方法進一步包含在鈷種晶層上形成鈷體層,以填充特徵結構定義。
在又另一實施例中,提供一種在基板上形成鈷層的方法。方法包含在基板上形成阻障層及(或)襯墊層,基板具有形成在基板的第一表面中的特徵結構定義。阻障 層及(或)襯墊層被形成在特徵結構定義的側壁與底表面上。方法進一步包含將基板暴露至鈷前驅物,以在阻障層及(或)襯墊層頂上形成鈷種晶層。方法進一步包含將鈷種晶層暴露至釕處理,以形成摻雜釕的鈷種晶層。
100:處理程序
110-170:作業
200:工件
202:基板
204:層
206:特徵結構定義
208:裝置結構
211:基板表面
212:側壁
214:底表面
216:阻障層及(或)襯墊層
218:含釕層
220:鈷種晶層
230:鈷層
240:鈷體材料
300:處理程序
310-370:作業
400:處理程序
410-470:作業
500:處理程序
510-570:作業
600:處理程序
610-670:作業
700:多腔室處理系統
702:裝載互鎖腔室
704:裝載互鎖腔室
710:第一機器人
712:處理腔室
714:處理腔室
716:處理腔室
718:處理腔室
722:傳輸腔室
724:傳輸腔室
730:第二機器人
732:處理腔室
734:處理腔室
736:處理腔室
738:處理腔室
740:微處理器控制器
可參考多個實施例以更特定地說明以上簡要總結的本公開內容,以更詳細瞭解本揭示內容的上述特徵,附加圖式圖示說明了其中一些具體實施例。然而應注意到,附加圖式僅圖示說明本公開內容的典型實施例,且因此不應被視為限制本揭示內容的範圍,因為公開內容可允許其他等效的實施例。
第1圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序的流程圖;第2A圖至第2F圖繪製根據本揭示內容的一或更多個實施例的在形成鈷層期間內半導體裝置的截面圖;第3圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序的另一流程圖;第4圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序的另一流程圖; 第5圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序的另一流程圖;第6圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序的另一流程圖;以及第7圖繪製可用於執行本文所述方法的說明性多腔室處理系統的俯視示意圖。
為了協助瞭解,已儘可能使用相同的元件符號標定圖式中共有的相同元件。已思及到,一個實施例的元件與特徵,可無需進一步的敘述即可被有益地併入其他實施例中。
下面的揭示內容說明處理基板的方法,且特定而言說明用於鈷縫隙填充的方法。下列說明與第1圖至第7圖闡述了特定的細節,以期通透瞭解揭示內容的各種實施例。下列揭示內容並未闡述說明時常相關聯於釕(ruthenium)與鈷沈積的習知結構與系統的其他細節,以避免不必要地遮蔽各種實施例的說明。
在圖式中圖示的許多細節、尺寸、角度與其他特徵,僅用於說明特定的實施例。因此,其他實施例可具有其他的細節、部件、尺寸、角度與特徵,而並未脫離本揭示內容的精神或範圍。此外,不需下述的許多細節,即可實作揭示內容的進一步實施例。
下面將參考鈷沉積處理來說明本文所述的實施例,此鈷沉積處理可以使用諸如可從美國加州聖塔克拉拉的應用材料公司獲得的Endura® VoltaTM CVD鈷的系統來進行。其他能夠執行鈷沉積處理的工具亦可經調適,以受益自本文所述的實施例。此外,能夠使用本文描述的釕和鈷沉積處理的任何系統都是有利的。本文所述之設備說明為示例性的,且不應被解譯或解釋為限制本文所述實施例的範圍。
電晶體密度的提升,在與先進CMOS電晶體的縮小科技節點(
Figure 107101636-A0305-02-0008-1
11奈米)結合之下,已使得在半導體製造期間內所利用的導電金屬層的截面尺寸下降。這種金屬導電層的範例,包含金屬接點填充、金屬閘極填充以及互連結構填充。對於這些應用的非常窄的截面尺寸(例如小於10奈米),必須使用不需要厚的高電阻係數阻障層及(或)襯墊層的金屬填充科技。隨著臨界尺寸縮小(例如小於20奈米),鈷的電阻係數的提升被期望為慢於銅,且因此在較小的臨界尺寸下不成比例。在較小的臨界尺寸下,鈷亦提供了相對於銅的電阻係數益處。此外,在較小的臨界尺寸下,鈷展現了相對於銅的較高可靠性。利用CVD鈷處理的縫隙填充方法,對於縫隙填充提供了可能為低的接觸電阻值(Rc)的單一材料解決方案。期望CVD鈷膜具有保形的覆蓋與低的粗糙度。然而在當前的處理溫度下,鈷團聚(cobalt agglomeration)提高了粗糙度並減少了保形覆蓋。發明人已發現到,以釕摻雜鈷層, 減少了團聚。可在沉積鈷層之前、在沉積鈷層期間內、及(或)在沉積鈷層之後,藉由沉積釕來以釕摻雜鈷層。在一個實施例中,可由下列至少一者達成鈷層的摻雜:在鈷沉積之前沉積釕材料薄層;使釕前驅物與鈷前驅物共流(co-flowing);以及在沉積後處理中使鈷層暴露至釕材料。沉積後處理可為實體植入處理、浸透處理(其中鈷層暴露至含釕氣一段時間)、或將釕薄層沉積到鈷層上。在一個實施例中,摻雜釕的鈷種晶層被形成為層壓結構,藉由循環沉積釕與鈷以形成多層釕與鈷結構。這減少了團聚,並允許將沉積的鈷退火,這移除了所沉積的鈷中造成電阻值降低的雜質。
第1圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序100的流程圖。第1圖所說明的處理程序100,對應於第2A圖至第2F圖中繪製的製造階段,第2A圖至第2F圖中繪製的製造階段將於下文論述。第2A圖至第2F圖繪製工件200的截面圖,工件200具有基板202,在圖示說明於處理程序100中、在裝置結構208的特徵結構定義內形成鈷層的不同階段期間內,在基板202上形成裝置結構208。
處理程序100開始於作業110,藉由提供具有基板的工件,基板具有形成於其內的特徵結構定義,諸如第2A圖繪製的具有形成於其中的特徵結構定義206的基板202。特徵結構定義可選自通孔、溝槽、線、接點孔、與鑲嵌特徵結構。工件200被放置入處理腔室。如第2A 圖圖示,工件200包含基板202,基板202具有形成在基板202上的裝置結構208(例如,諸如接點結構、閘極結構或互連結構)。注意到,此特定的裝置結構208,可使用在三維(3-D)快閃記憶體應用中、DRAM應用中、或具有高深寬比或其他特別幾何形狀的其他適台的應用中。
在基板202上形成層204。層204中形成了具有高深寬比的特徵結構定義206,諸如大於1:1的深寬比,例如約大於10:1,諸如約大於20:1。特徵結構定義206被形成在裝置結構208中,且具有側壁212與底表面214,側壁212與底表面214形成開放通道以暴露下層204。層204可包含任何適合的層,諸如單一矽層、高介電常數(high-k)介電層、低介電常數(low-k)介電層或具有形成於其中的前述層之至少一者的多層膜疊層。在其中層204為單一層形式的一個實施例中,層204可為氧化矽層、氧化物層、氮化矽層、氮化物層、氮氧化矽層、氮化鈦層、多晶矽層、微晶矽層、單晶矽、摻雜多晶矽層、摻雜微晶矽層、或摻雜單晶矽。
在其中層204為含矽層的另一範例中,層204可為包含低介電常數介電質層、複合氧化物和氮化物層、夾著氮化物層的至少一個或多個氧化物層、以及以上之組合的膜疊層。摻雜在層204中的適合的摻雜劑,可包含p型摻雜劑和n型摻雜劑,諸如含硼(B)摻雜劑或含磷(P)摻雜劑。在其中層204為具有至少一個含矽層的多層膜疊層形式的一個實施例中,含矽層可包含重複數對的包含含 矽層與介電質層的層。在一個實施例中,層204可包含多晶矽層及(或)其他金屬材料及(或)設置於其中的介電質層。適合的介電質層範例,可選自由氧化物層、氧化矽層、氮化矽層、氮化物層、氮化鈦層、複合氧化物和氮化物層、夾著氮化物層的至少一個或多個氧化物層、以及以上之組合等等所組成的群組。
在於作業110所說明的將工件200傳輸進入處理腔室之前,可選地執行預清洗處理以處置特徵結構定義206的基板表面211、側壁212以及底表面214,已移除原生氧化物或其他污染源。從基板202移除原生氧化物或其他污染源,可提供低接觸電阻值表面,以形成良好的接觸表面以形成金屬層。
所執行的預清洗處理,包含將預清洗氣體混合物供應入預清洗腔室。預清洗腔室可以是Preclean PCII腔室、PCXT Reactive PrecleanTM(RPC)腔室、AKTIV® Pre-CleanTM腔室、SICONI®腔室或CapraTM腔室,所有這些都可以從美國加州聖塔克拉拉的應用材料公司取得。預清洗腔室可被併入整合式處理工具中,諸如說明性的多腔室處理系統700(見第7圖)。注意到,亦可利用其他製造者所提供的其他預清洗腔室,以實作本文所述的實施例。
在作業110,可執行阻障層及(或)襯墊層沉積處理,以在特徵結構定義206中沉積阻障層及(或)襯墊層216,如第2B圖圖示。阻障層及(或)襯墊層216 大抵防止金屬層擴散至基板202上的接合面材料(通常為矽或矽鍺化合物)。阻障層及(或)襯墊層大抵包含金屬或金屬氮化物材料,諸如鈦(Ti)、氮化鈦(TiN)、以上之合金或以上之組合。阻障層及(或)襯墊層216亦可包含電漿氮化(N2或NH3)鈦材料。在其中阻障層及(或)襯墊層216包含氮化鈦層的一些實施例中,僅將最上面的數埃(angstrom)鈦轉換成TiN化合物。已發現到,氧化和未氧化的鈦和TiN阻障層及(或)襯墊層均提供改善的抗擴散性。阻障層及(或)襯墊層216的厚度,可從約2Å至約100Å,可更窄地從約3Å至約80Å,可更窄地從約4Å至約50Å,可更窄地從約5Å至約25Å,可更窄地從約5Å至約20Å,可更窄地從約10Å至約20Å,可更窄地從約5Å至約15Å,並且可更窄地從約5Å至約10Å。通常透過原子層沉積(ALD)、電漿增強原子層沉積(PE-ALD)、化學氣相沉積(CVD)、或物理氣相沉積(PVD)處理,來沉積阻障層及(或)襯墊層216。如前述,阻障層及(或)襯墊層216大抵防止金屬層擴散至基板上的接合面材料。在一個實施例中,阻障層及(或)襯墊層216為由ALD沉積的TiN層,厚度從約10Å至約20Å。
可選的,在作業130,使阻障層及(或)襯墊層216暴露至電漿處置處理。不受理論束縛,但相信電漿處置處理藉由減少雜質並使阻障層及(或)襯墊層216緻 密化,來降低所沉積的阻障層及(或)襯墊層216的表面粗糙度。
用於作業130的電漿處置處理的示例性電漿形成氣體,包括氫氣(H2)、氮氣(N2)、氨氣(NH3)及以上之組合。在電漿處置處理期間內,亦調節數個處理參數。在一個實施例中,將處理壓力控制在約0.1托與約100托之間(例如在約0.1托與約80托之間;在約1托與約20托之間;或在約7托與約30托之間)。在一個實施例中,處理溫度在約攝氏100度和約攝氏900度之間(例如,在約攝氏125度和約攝氏350度之間;在約攝氏200度和約攝氏300度之間;或在約攝氏250度和約攝氏340度之間)。RF功率可被控制在約100瓦與約800瓦之間(例如約400瓦)。電漿處理氣體(諸如H2氣體)可被供應於約3000sccm與約5000sccm之間,例如約4000sccm。從基板邊緣/基板底部供應的H2氣體可被控制在約200sccm與約1000sccm之間。氬氣可被從基板邊緣/基板底部供應於約200sccm與約1000sccm之間。
在作業140,可執行含釕層沉積處理,以將含釕層218沉積到阻障層及(或)襯墊層216上,如第2C圖圖示。不受理論束縛,但相信含釕層218減少了團聚,並改善了隨後沉積的鈷層在高處理溫度下的變形。在一個實施例中,含釕層218為連續膜。在另一實施例中,含釕層218為不連續膜。含釕層218的厚度,可從約1Å至約 30Å,可更窄地從約1Å至約20Å,可更窄地從約3Å至約20Å,可更窄地從約3Å至約10Å,並且可更窄地從約5Å至約10Å。通常透過原子層沉積(ALD)、電漿增強原子層沉積(PE-ALD)、化學氣相沉積(CVD)、或物理氣相沉積(PVD)處理,來沉積含釕層218。在一個實施例中,含釕層218的厚度為從約3Å至約20Å,並由金屬有機氣相沉積(MOCVD)處理來沉積。
在其中沉積處理為MOCVD處理的實施例中,可由將至少包含含釕(Ru)前驅物的沉積氣體混合物,供應入處理腔室來執行沉積處理。包含釕(Ru)的前驅物可具有化學式RxRuyR'z,其中R和R'為H、CH3、C2H5、C3H7、CO、NCO、甲基、乙基、丙基、異丙基、丁基、異丁基或芳基,並且x、y和z為範圍在1和8之間的整數。
在一個實施例中,釕前驅物是甲基-環己二烯三羰基環己二烯釕、三羰基釕、丁二烯三羰基釕、二甲基丁二烯三羰基釕、或具有Ru(CO)3的改性二烯中的一種或多種。
在一些實施例中,可將各種其他的處理氣體加入氣體混合物中,以修改含釕(Ru)層的性質。例如,諸如氫(H2)、氨(NH3)、氫氣(H2)和氮氣(N2)的混合物或以上之組合的反應氣體,可被可選地和選擇性地供應到沉積氣體混合物中,以協助沉積含釕(Ru)層。可將載氣(諸如氮氣(N2)和一氧化氮(NO)或及(或) 惰性氣體(諸如氬氣(Ar)和氦氣(He))與沉積氣體混合物供應到處理腔室中。添加不同的反應氣體或惰性氣體,可改變膜結構及(或)膜化學成分(諸如反射率),從而調整所沉積的膜以具有期望的膜性質,以滿足不同的處理要求。
或者,在藉由物理氣相沉積處理(PVD)(諸如濺射沉積處理)形成含釕(Ru)層的範例中,可利用具有金屬釕(Ru)、含金屬釕(Ru)材料、或含金屬釕合金的靶材來執行濺射沉積以形成含釕(Ru)層。
在含釕(Ru)層的沉積期間內,在處理中可調節數個處理參數。在適合處理300mm基板的一個實施例中,可將處理壓力控制在約0.1托與約100托之間(例如在約0.1托與約80托之間;在約1托與約20托之間;或在約7托與約30托之間)。基板與噴淋頭之間的間距,可被控制在約200mils至約1000mils。基板溫度在約攝氏100度和約攝氏900度之間(例如,在約攝氏125度和約攝氏350度之間;在約攝氏200度和約攝氏300度之間;或在約攝氏250度和約攝氏340度之間)。
在一個實施例中,藉由熱CVD處理來沉積含釕層218,此處理涉及使含釕前驅物與氫氣(H2)共流。
在一些實施例中,含釕層218被暴露至氫浸透處理。在一個實施例中,由從作業140停止含釕前驅物流,同時從作業140繼續使氫氣流動,以執行氫浸透處理。不受理論束縛,但相信氫浸透處理減少含釕層218中 的雜質。在一些實施例中,基板表面在一溫度下被暴露至浸透處理,此溫度在約攝氏100度和約攝氏600度之間(例如,在約攝氏100度和約攝氏400度之間;或在約攝氏200度和約攝氏300度之間)。通常在從約1托至約150托的壓力下(較佳在從5托至約90托)執行浸透處理。在一些範例中,壓力為從約5托至約20托。在另一範例中,壓力為約40托。浸透通常被傳導至基板表面以及暴露的含釕層一段時間,範圍從約5秒至約90秒。在一個態樣中,浸透將持續約60秒或更短。在另一態樣中,浸透將持續約30秒或更短。在另一態樣中,浸透將持續約10秒。氫氣的流動速率,大抵從10sccm至約2000sccm,較佳從約50sccm至約500sccm。
在一些實施例中,含釕層218被暴露至電漿處置處理。電漿處置處理可類似於作業130的電漿處置處理。不受理論束縛,但相信電漿處置處理藉由減少雜質並提升膜密度,來降低所沉積的含釕層218的表面粗糙度。
用於含釕層218的電漿處置處理的示例性電漿形成氣體,包括氫氣(H2)、氮氣(N2)、氨氣(NH3)及以上之組合。在電漿處置處理期間內,亦調節數個處理參數。在一個實施例中,將處理壓力控制在約7托與約30托之間。處理溫度在約攝氏125度與約攝氏250度之間。RF功率可被控制在約100瓦與約800瓦之間(例如約400瓦)。電漿處理氣體(諸如H2氣體)可被供應於約3000sccm與約5000sccm之間,例如約4000sccm。從基 板邊緣/基板底部供應的H2氣體可被控制在約200sccm與約1000sccm之間。氬氣可被從基板邊緣/基板底部供應於約200sccm與約1000sccm之間。
在作業150,可執行鈷種晶層沉積處理,以將鈷種晶層220沉積到含釕層218上,如第2D圖圖示。鈷種晶層220的厚度,可從約1Å至約100Å(例如從約1Å至約30Å;從約1Å至約20Å;從約3Å至約20Å;從約3Å至約10Å;從約10Å至約20Å;以及從約5Å至約10Å)。通常透過原子層沉積(ALD)、電漿增強原子層沉積(PE-ALD)、或化學氣相沉積(CVD),來沉積鈷種晶層220。在一個實施例中,鈷種晶層由CVD處理沉積,且厚度從約3Å至約20Å。CVD鈷通常包含雜質(例如碳及(或)氧),因此通常使用隨後的處理(諸如在氫環境中進行電漿處置或退火)以減少雜質。然而,退火可造成薄膜團聚,而產生縫隙填充的問題。含釕層218允許在沒有團聚之下移除雜質。
在一些實施例中,工件200被暴露至鈷前驅物,在約750sccm至約1000sccm的流動速率下。在一些實施例中,適合的鈷前驅物可包括羰基鈷錯合物、脒鈷化合物、二茂鈷化合物、鈷二烯基錯合物、亞硝酰基鈷錯合物、其衍生物、其錯合物、其電漿或其組合中的一種或多種。在一些實施例中,六羰基二鈷乙酰基化合物可用於形成鈷種晶層220。二羰基六羰基乙酰基化合物可具有化學式(CO)6CO2(RC≡CR'),其中R和R'獨立地選 自氫、甲基、乙基、丙基、異丙基、丁基、叔丁基、戊基、苯甲基、芳基、其異構體、其衍生物或其組合。在一個範例中,二鈷六羰基丁基乙炔(CCTBA,(CO)6CO2(HC≡CtBu))為鈷前驅物。二羰基六羰基乙酰基化合物的其他範例,包括六羰基甲基丁基乙炔((CO)6CO2(MeC≡CtBu))、六羰基苯基乙炔((CO)6CO2(HC≡CPh))、六羰基甲基苯基乙炔二鈷((CO)6CO2(MeC≡CPh))、六羰基甲基乙炔二鈷((CO)6CO2(HC≡CMe))、六羰基二甲基乙炔二鈷((CO)6CO2(MeC≡CMe))、其衍生物、其錯合物或其組合。其他示例性的羰基鈷錯合物,包括環戊二烯基鈷雙(羰基)(CpCo(CO)2)、三羰基烯丙基鈷((CO)3Co(CH2CH=CH2))或其衍生物、其錯合物或其組合。可由載氣(諸如氬氣)供應鈷前驅物。
替代試劑的範例(即與鈷前驅物一起用於在如本文所述的沉積處理期間形成鈷材料的還原劑)可包括氫(例如H2或原子H)、氮(例如N2或原子N)、氨(NH3)、肼(N2H4)、氫和氨混合物(H2/NH3)、硼烷(BH3)、乙硼烷(B2H6)、三乙基硼烷(Et3B)、矽烷(SiH4)、乙矽烷(Si2H6)、丙矽烷(Si3H8)、四矽烷(Si4H10)、甲基矽烷(SiCH6)、二甲基矽烷(SiC2H8)、膦(PH3)、其衍生物、其電漿或其組合。在一個特定的範例中,在此所使用的試劑或還原劑為氨(NH3)。
在一些實施例中,方法可進一步包含使反應劑氣體(諸如氫氣(H2))與前驅物氣體一起流動。用於形成如上文論述的鈷種晶層220的一般性處理條件,包含將處理腔室壓力維持在例如約15至約25托。在適合處理300mm基板的一個實施例中,可將處理壓力維持在約0.1托至約80托,例如在約15托至約25托之間。沉積處理的基板溫度被維持在約攝氏150度和約攝氏400度之間(例如,在約攝氏150度和約攝氏300度之間;或例如在約攝氏150度和約攝氏200度之間)。
在一些實施例中,可透過電漿支援式沉積處理(諸如電漿增強化學氣相沈積處理、或熱性化學氣相沈積處理)來沉積鈷種晶層220。在一些實施例中,工件200可例如暴露至在電漿狀態中的鈷前驅物。可藉由耦合足夠的能量(例如來自功率源的射頻(RF)能量)以點燃鈷前驅物,以形成電漿。在一些實施例中,功率源可示例性地在適合的頻率下(諸如約13.56MHz)提供約400瓦的功率。電漿協助前驅物的分解,使材料沉積到工件200上,以形成鈷種晶層220。
在一個實施例中,將作業140與作業150依所需重複數次,以在循環處理中沉積釕薄層與鈷薄層,以形成多層釕與鈷層壓結構。
可選的,在作業160,鈷種晶層220被暴露至熱性退火處理,以改善鈷種晶層220的性質。在一些實施例中,可在與鈷種晶層沉積處理相同的處理腔室中原位執 行熱性退火處理。在一些實施例中,可在個別的處理腔室中執行熱性退火處理。在一個實施例中,在作業160執行熱性退火處理的溫度,可在約攝氏200度和約攝氏1400度之間(例如,在約攝氏200度和約攝氏500度之間;在約攝氏300度和約攝氏500度之間;或在約攝氏400度和約攝氏450度之間)。在熱性退火處理期間內,至少包含含氫氣體及(或)惰性氣體(例如氬)的氣體混合物被供應入處理腔室中。可使用靜態處理或連續流動處理將氣體混合物供應至退火腔室,在靜態處理中腔室在退火處理之前被填充氣體,在連續流動處理中在退火處理期間內氣體混合物持續流動透過退火腔室。
在一個實施例中,可藉由將氣體混合物(包含含氫氣體、惰性氣體、和含氮氣體中的至少一者)以約10sccm至約10000sccm之間的流動速率(例如,在約100sccm和約2000sccm之間)供應進入退火腔室、控制腔室壓力為約0.1托和約100托(例如約0.5托和約15托,諸如約5托和約8托之間)、同時保持溫度範圍在約攝氏150度和約攝氏500度之間(例如,在約攝氏300度和約攝氏475度之間,或在約攝氏400度和約攝氏450度之間)、並且可選地同時旋轉基板(持續約30秒與約600秒之間)而執行熱性退火處理,來執行作業160。用於供應入熱性退火腔室中的氣體混合物的適合的氣體範例,可包含氫氣、含氮氣體、惰性氣體(例如氬)、或其他所需的氣體。
接著,在作業170,在鈷種晶層220形成之後,可在鈷種晶層220上沉積鈷層230。例如,可在基板上表面上(以及在特徵結構定義206的側壁212與底表面214上)沉積鈷材料,以形成鈷層230。在一些實施例中,可由物理氣相沉積處理沉積鈷材料。在一個實施例中,可在室溫(例如攝氏20至25度)與約攝氏500度之間的溫度下執行物理氣相沉積處理。由於物理氣相沉積處理的選擇性,鈷層230在設置在基板202的上表面上的區域中可較厚,相較於在特徵結構定義206內的一或更多個區域(例如在側壁212上、底表面214上、或側壁212與底表面214上)。在一些實施例中,鈷層230在底表面214上較厚,且在側壁212上較薄。
可選的,鈷層230可被加熱或退火,以將所沉積的材料拉入特徵結構定義206中(例如經由毛細作用)。可在從約攝氏100度至約攝氏900度範圍的溫度下執行加熱處理(例如從約攝氏150度至約攝氏400度)。含釕層218和鈷種晶層220有利地允許鈷層230與脫濕鈷(cobalt de-wetting)一起再流入和填充開口,而不在開口中形成孔隙或允許特徵結構內部的鈷層重結晶化並加熱孔隙而不脫濕。在加熱處理完成時,特徵結構定義206的底表面214可被所沉積的材料覆蓋。在一些實施例中,可重複沉積鈷材料與退火所沉積鈷材料的處理,以填充特徵結構定義206。或者,在如本文所述的填充特徵結構定義206的部分之後,剩餘的特徵結構定義206可被使 用電鍍處理來填充。再者,在特徵結構定義206已被導電材料填充時,特徵結構定義206可被填充高於基板上表面的水平,及(或)所沉積材料(例如來自鈷層230)可維持在基板202的上表面上。因此,諸如在酸性溶液中的濕式清洗、化學或電化學機械拋光等等的技術,可用於從上表面移除過量的沉積材料,使得特徵結構定義206被填充鈷體材料240到與上表面大約相同的水平,如第2F圖圖示。如第2F圖繪製,由鈷種晶層220與鈷層230形成鈷體材料240。
第3圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序300的另一流程圖。處理程序300類似於處理程序100,但鈷體材料係由CVD處理沉積而非PVD處理。在作業310,提供具有特徵結構定義形成於其中的基板。作業310的執行可類似於作業110。在作業320,在特徵結構定義中沉積阻障層及(或)襯墊層。作業320的執行可類似於作業120。可選的,在作業330,使阻障層及(或)襯墊層暴露至電漿處置。作業330的執行可類似於作業130。在作業340,在阻障層及(或)襯墊層上形成含釕層。作業340的執行可類似於作業140。在作業350,在含釕層上形成鈷種晶層。作業350的執行可類似於作業150。在一個實施例中,將作業340與作業350依所需重複數次,以在循環處理中沉積釕薄層與鈷薄層,以形成多層釕與鈷層壓結構。
可選的,在作業360,鈷種晶層被暴露至退火處理。作業360的執行可類似於作業160。
在作業370,藉由化學氣相沈積處理在鈷種晶層上沉積鈷體材料。在一些實施例中,由循環CVD處理沉積鈷體材料。在一個實施例中,循環CVD處理包含重複作業360與作業370,直到達成預定的鈷體材料厚度為止。若鈷體材料的預定厚度尚未被達成,則額外的循環從作業360的鈷CVD處理開始並接續作業370的退火處理。例如,若鈷體材料的總和厚度為300Å,且鈷體材料的每一部分每一循環被沉積50Å,則將需要6次循環(沉積50Å並接續退火)。
在另一實施例中,循環CVD處理包含重複作業370並接續如作業330中說明的電漿處置處理,直到達成預定的鈷體材料厚度為止。若鈷體材料的預定厚度尚未被達成,則額外的循環從作業370的鈷CVD處理開始並接續電漿處置處理。例如,若鈷體材料的總和厚度為300Å,且鈷體材料的每一部分每一循環被沉積50Å,則將需要6次循環(沉積50Å並接續電漿處置)。電漿處置處理減少了所沉積鈷層的粗糙度,藉由減少鈷中的雜質並協助晶粒生長,而允許隨後的鈷層更均勻地沉積。
第4圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序400的另一流程圖。處理程序400類似於處理程序100,但鈷體材料係由CVD處理沉積而非PVD處理。在作業410,提 供具有特徵結構定義形成於其中的基板。作業410的執行可類似於作業110。在作業420,在特徵結構定義中沉積阻障層及(或)襯墊層。作業420的執行可類似於作業120。可選的,在作業330,使阻障層及(或)襯墊層暴露至電漿處置。作業430的執行可類似於作業130。在作業440,在阻障層及(或)襯墊層上形成含釕層。作業440的執行可類似於作業140。在作業450,在含釕層上形成鈷種晶層。作業450的執行可類似於作業150。在一個實施例中,將作業440與作業450依所需重複數次,以在循環處理中沉積釕薄層與鈷薄層,以形成多層釕與鈷層壓結構。
可選的,在作業460,鈷種晶層被暴露至退火處理。作業460的執行可類似於作業160。
在作業470,藉由電鍍處理在鈷種晶層上沉積鈷體材料。
第5圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序500的另一流程圖。處理程序500類似於處理程序100,但係由使一或更多種釕前驅物與一或更多種鈷前物共流,來沉積摻雜釕的鈷種晶層。在作業510,提供具有特徵結構定義形成於其中的基板。作業510的執行可類似於作業110。在作業520,在特徵結構定義中沉積阻障層及(或)襯墊層。作業520的執行可類似於作業120。可選的,在作業530,使阻障層及(或)襯墊層暴露至電漿處置。作業530 的執行可類似於作業130。在作業540,在阻障層及(或)襯墊層上形成摻雜釕的鈷種晶層。在一個實施例中,由CVD處理形成摻雜釕的鈷種晶層,此CVD處理包含使一或更多種釕前驅物與一或更多種鈷前驅物共流。在一個實施例中,使用本文所述的CVD參數、鈷前驅物與釕前驅物來執行此CVD處理。可選的,在作業560,鈷種晶層被暴露至退火處理。作業560的執行可類似於作業160。
在作業570,在摻雜釕的鈷種晶層上沉積鈷體材料。可使用任何適合的沉積方法來沉積鈷體材料。在一個實施例中,由如作業170所說明的PVD鈷重流處理,來沉積鈷體材料。在另一實施例中,由如作業470所說明的電鍍處理,來沉積鈷體材料。在又另一實施例中,由如作業370所說明的鈷CVD處理,來沉積鈷體材料。在一些實施例中,由循環CVD處理沉積鈷體材料。在一個實施例中,循環CVD處理包含重複作業560與作業570,直到達成預定的鈷體材料厚度為止。若鈷體材料的預定厚度尚未被達成,則額外的循環從作業560的鈷CVD處理開始並接續作業570的退火處理。例如,若鈷體材料的總和厚度為300Å,且鈷體材料的每一部分每一循環被沉積50Å,則使用6次循環(沉積50Å(作業560)並接續退火(作業570))。
在另一實施例中,循環CVD處理包含重複作業570並接續如作業530中說明的電漿處置處理,直到達成預定的鈷體材料厚度為止。若鈷體材料的預定厚度尚未 被達成,則額外的循環從作業570的鈷CVD處理開始並接續作業530的電漿處置處理。例如,若鈷體材料的總和厚度為300Å,且鈷體材料的每一部分每一循環被沉積50Å,則使用6次循環(沉積50Å(作業570)並接續電漿處置(作業530))。
第6圖繪製根據本揭示內容的一或更多個實施例的用於在半導體裝置中形成鈷層的處理程序600的另一流程圖。處理程序600類似於處理程序100,但係由在作業640在阻障層及(或)襯墊層上沉積鈷種晶層,且隨後在作業650將鈷種晶層暴露至釕處理,來沉積摻雜釕的鈷種晶層。在作業610,提供具有特徵結構定義形成於其中的基板。作業610的執行可類似於作業110。在作業620,在特徵結構定義中沉積阻障層及(或)襯墊層。作業620的執行可類似於作業120。可選的,在作業630,使阻障層及(或)襯墊層暴露至電漿處置。作業630的執行可類似於作業130。
在作業640,在阻障層及(或)襯墊層上形成摻雜釕的鈷種晶層。作業640的執行可類似於作業150。作業650,鈷種晶層被暴露至釕處理。在一個實施例中,作業650的釕處理包含下列之至少一者:在鈷種晶層上沉積釕薄層;將釕實體植入鈷種晶層;及(或)將鈷種晶層浸透在含釕環境中。在一個實施例中,作業650的執行類似於作業140,以在鈷種晶層上沉積釕薄層。在一個實施例中,作業650為釕PVD處理,此釕PVD處理由釕摻雜 鈷種晶層。在一個實施例中,在浸透處理中鈷種晶層暴露至含釕環境,以由釕摻雜鈷種晶層。
在一個實施例中,將作業640與作業650依所需重複數次,以在循環處理中沉積釕薄層與鈷薄層,以形成多層釕與鈷層壓結構。
可選的,在作業660,摻雜釕的鈷種晶層被暴露至退火處理。作業660的執行可類似於作業160。
在作業670,在摻雜釕的鈷種晶層上沉積鈷體材料。可使用任何適合的沉積方法來沉積鈷體材料。在一個實施例中,由如作業170所說明的PVD鈷重流處理,來沉積鈷體材料。在另一實施例中,由如作業470所說明的電鍍處理,來沉積鈷體材料。在又另一實施例中,由如作業370所說明的鈷CVD處理,來沉積鈷體材料。在一些實施例中,由循環CVD處理沉積鈷體材料。在一個實施例中,循環CVD處理包含重複作業660與作業670,直到達成預定的鈷體材料厚度為止。若鈷體材料的預定厚度尚未被達成,則額外的循環從作業660的鈷CVD處理開始並接續作業670的退火處理。例如,若鈷體材料的總和厚度為300Å,且鈷體材料的每一部分每一循環被沉積50Å,則將需要6次循環(沉積50Å(作業660)並接續退火(作業670))。
在另一實施例中,循環CVD處理包含重複作業670並接續如作業630中說明的電漿處置處理,直到達成預定的鈷體材料厚度為止。若鈷體材料的預定厚度尚未 被達成,則額外的循環從作業670的鈷CVD處理開始並接續作業630的電漿處置處理。例如,若鈷體材料的總和厚度為300Å,且鈷體材料的每一部分每一循環被沉積50Å,則使用6次循環(沉積50Å(作業670)並接續電漿處置(作業630))。
本文所說明的方法可被執行於個別的處理腔室中,這些腔室可被提供為獨立式配置,或被提供為叢集工具的部分,例如下面針對第7圖所述的多腔室處理系統700(亦即叢集工具)。多腔室處理系統700的範例,包含可從美國加州聖塔克拉拉市的應用材料公司獲得的CENTURA®與ENDURA®整合工具。然而,可使用其他叢集工具來實作本文所說明的方法,這些叢集工具具有耦合至這些叢集工具的合適處理腔室,或可在其他適合的處理腔室中實作本文所說明的方法。例如在一些實施例中,上文所論述的獨創方法,可有益地被執行於整合工具中,使得處理步驟之間的真空中斷受到限制(或不存在真空中斷)。例如,減少的真空中斷可限制或防止種晶層或基板其他部分的污染。
第7圖為可經調適以執行本文所揭示的金屬層沈積處理的多腔室處理系統700的俯視示意圖。系統700可包含一或更多個裝載互鎖腔室702與704,以用於將工件200傳輸進與傳輸出系統700。一般而言,系統700被維持在真空下,且裝載互鎖腔室702與704可被「抽氣(pumped down)」引入引入系統700中的工件 200。第一機器人710可在裝載互鎖腔室702與704,以及第一組一或更多個基板處理腔室712、714、716、與718之間傳輸工件200。每一處理腔室712、714、716、與718經配置為至少一種基板沈積處理,諸如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、脫氣、預清洗導向、退火、和其他基板處理。再者,處理腔室712、714、716、與718之一者,亦可經配置以在對工件200執行沈積處理或熱性退火處理之前,執行預清洗處理。用於執行熱性退火處理的處理腔室718相對於其他處理腔室712、714、716的位置僅用於說明,且處理腔室718的位置可可選地被依所需與處理腔室712、714、716之任一者交換。
第一機器人710亦可將工件200傳輸至一或更多個傳輸腔室722與724,以及從一或更多個傳輸腔室722與724傳輸出。傳輸腔室722與724可用於維持超高度真空條件,同時允許工件200被傳輸於系統700內。第二機器人730可在傳輸腔室722與724,以及第二組一或更多個基板處理腔室732、734、736、與738之間傳輸工件200。類似於處理腔室712、714、716、與718,處理腔室732、734、736、與738可被配置以執行各種基板處理作業,包含本文所說明的乾式蝕刻處理,以及(例如)循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、蝕刻、脫氣、與導向。基板處理腔室712、714、716、732、734、 736、與738之任意者,若對於系統700要執行的特定處理而言並非必需的,則可被從系統700移除。在預清洗之後,在處理腔室718中執行沈積及(或)熱性退火處理,基板可進一步被傳送到系統700的處理腔室712、714、716、732、734、736、與738之任意者,以依所需執行其他處理。
微處理器控制器740使用對於處理腔室712、714、716、718、732、734、736、與738的直接控制來控制系統700的作業,或者藉由控制相關聯於處理腔室712、714、716、718、732、734、736、與738與系統700的電腦(或控制器)。在作業中,微處理器控制器740致能來自各別腔室與系統的資料收集與回饋,以最佳化系統700的效能。微處理器控制器740一般而言包含中央處理單元(CPU)、記憶體、與支援電路。CPU可為可用於工業設定中的一般用途電腦處理器的任何形式之任意者。支援電路被以習知方式耦合至CPU,並可包含快取記憶體、時脈電路、輸入輸出子系統、電源供應器等等。軟體常式(諸如上文所述方法)可被儲存在記憶體中,且在被CPU執行時將CPU轉換成一般用途電腦(微處理器控制器)740。軟體常式亦可被由第二控制器(未圖示)儲存及(或)執行,第二控制器位於系統700的遠端處。
總的來說,本揭示內容的一些實施例的一些益處,提供了用於以鈷材料填充特徵結構定義的方法,使得 鈷材料在不具有孔隙、縫隙及其他缺陷的情況下被沈積。本文所說明的改良的方法,特別有益於填充高深寬比特徵結構。本文所說明的實施例,提供了在較高的處理溫度下能夠減少團聚的鈷膜。發明人已發現到,在沉積鈷層之前、在沉積鈷層期間內、及(或)在沉積鈷層之後,沉積釕薄層材料將減少團聚。這減少了團聚,並允許將沉積的鈷退火,這移除了所沉積的鈷中造成電阻值降低的雜質。
在已揭示了數種實施例之後,在本發明技術領域中具有通常知識者將理解到,可使用各種修改、替代性結構與均等範圍,而不脫離所揭示實施例的精神。此外,並未說明一些為人熟知的處理與要素,以避免不必要地遮蔽本揭示內容,因此,上文的說明不應被視為限制揭示內容的範圍。
在介紹本揭示內容或本揭示內容實施例的示例性態樣的要素時,冠詞「一(a)」、「一(an)」、「該(the)」、「該(said)」意為表示存在一或更多個此要素。
用詞「包含」、「包括」與「具有」意為包含性的,且表示除了所列出的要素外可存在額外的要素。
本文所使用的「浸透」或「浸透處理」,意為代表引入處理腔室反應區以啟用基板表面的特定化合物的量值。表面的啟用,可包含水合表面、催化表面、或形成鹵化物終止表面。特定的浸透處理可包括單一化合物或兩種或更多種化合物的混合物/組合。浸透處理一般而言持續期間約為一秒以上。
儘管前述內容係關於特定實施例,但可發想其他與進一步的實施例而不脫離前述內容的基板範圍,且前述內容的範圍係由下列申請專利範圍判定。
100:處理程序
110-170:作業

Claims (21)

  1. 一種在一基板上形成一鈷層的方法,包含以下步驟:暴露釕前驅物步驟,將具有一特徵結構定義的一基板暴露至一釕前驅物與氫氣,該特徵結構定義被形成在該基板的一第一表面中,以在該基板的一第一表面以及該特徵結構定義的一側壁與底表面上形成一含釕層,該暴露釕前驅物步驟包含使該釕前驅物與該氫氣共流(co-flowing);暴露浸透處理步驟,在約攝氏100度至約攝氏600度的一溫度下將該含釕層暴露至一浸透處理,該暴露浸透處理步驟包含停止該釕前驅物的流動同時持續該氫氣的流動;暴露鈷前驅物步驟,將該基板暴露至一鈷前驅物,以在該含釕層頂上形成一鈷種晶層;以及沉積鈷體層步驟,在該鈷種晶層上沈積一鈷體層,其中該鈷體層被由一化學氣相沈積處理、一物理氣相沈積處理、或一電鍍處理來沈積。
  2. 如請求項1所述之方法,其中該含釕層為一不連續層。
  3. 如請求項1所述之方法,其中該含釕層的厚度為約1埃至約20埃。
  4. 如請求項3所述之方法,其中該鈷種晶層的厚度為約1埃至約200埃。
  5. 如請求項1所述之方法,該方法進一步包含以下步驟:重複該暴露釕前驅物步驟與該暴露鈷前驅物步驟,以形成一釕與鈷多層結構。
  6. 如請求項1所述之方法,其中將該基板暴露至該鈷前驅物的該暴露鈷前驅物步驟,係被執行在高於攝氏150度的一溫度下。
  7. 如請求項1所述之方法,其中該鈷前驅物為羰基鈷錯合物及其衍生物、脒鈷化合物及其衍生物及錯合物、二茂鈷化合物及其衍生物及錯合物、鈷二烯基錯合物及其衍生物、亞硝酰基鈷錯合物及其衍生物及錯合物、六羰基二鈷乙酰基化合物及其衍生物及錯合物、(羰基)(CpCo(CO)2)及其衍生物及錯合物、三羰基烯丙基鈷((CO)3Co(CH2CH=CH2))及其衍生物及錯合物、及以上之組合中的一種或多種。
  8. 如請求項1所述之方法,其中該釕前驅物是環戊二烯基鈷雙(羰基)(CpCo(CO)2)及其衍生物及錯合物、三羰基烯丙基鈷((CO)3Co(CH2CH=CH2))及其衍生物及錯合物、及以上之組合中的一種或多種。
  9. 如請求項1所述之方法,其中該釕前驅物是甲基-環己二烯三羰基環己二烯釕、三羰基釕、丁二烯三羰基釕、二甲基丁二烯三羰基釕、及具有Ru(CO)3的改性二烯中的一種或多種。
  10. 如請求項1所述之方法,該方法進一步包含以下步驟:暴露氫退火處理步驟,將該基板暴露至一氫退火處理,該氫退火處理執行在從約攝氏100度至約攝氏900度的溫度下。
  11. 如請求項10所述之方法,該方法進一步包含以下步驟:重複將該基板暴露至該鈷前驅物以形成該鈷種晶層的該暴露鈷前驅物步驟以及將該基板暴露至該氫退火處理的該暴露氫退火處理步驟,直到達成該鈷種晶層的一預定厚度。
  12. 如請求項1所述之方法,其中該特徵結構定義具有大於10:1的一高深寬比。
  13. 一種在一基板上形成一鈷層的方法,包含以下步驟:形成步驟,在一基板上形成一阻障層及/或襯墊層,該基板具有形成在該基板的一第一表面中的一特徵結構定義,其中該阻障層及/或襯墊層係形成在該特徵結構定義的一側壁與底表面上;暴露釕前驅物步驟,將該基板暴露至一釕前驅物與 氫氣,以在該阻障層及/或襯墊層上形成一含釕層,該暴露釕前驅物步驟包含使該釕前驅物與該氫氣共流(co-flowing);暴露浸透處理步驟,在約攝氏100度至約攝氏600度的一溫度下將該含釕層暴露至一浸透處理,該暴露浸透處理步驟包含停止該釕前驅物的流動同時持續該氫氣的流動;暴露鈷前驅物步驟,將該基板暴露至一鈷前驅物,以在該含釕層頂上形成一鈷種晶層;以及形成鈷體層步驟,在該鈷種晶層上形成一鈷體層,以填充該特徵結構定義。
  14. 如請求項13所述之方法,該方法進一步包含以下步驟:在將該基板暴露至該釕前驅物的該暴露釕前驅物步驟之前,將該阻障層及/或襯墊層暴露至一電漿處置處理。
  15. 如請求項13所述之方法,其中該特徵結構定義具有大於10:1的一高深寬比。
  16. 如請求項13所述之方法,該方法進一步包含以下步驟:在一含氫氣體中,在從約攝氏100度至約攝氏900度的溫度下退火該鈷體層。
  17. 一種在一基板上形成一鈷層的方法,包含以下步驟: 形成步驟,在一基板上形成一阻障層及/或襯墊層,該基板具有形成在該基板的一第一表面中的一特徵結構定義,其中該阻障層及/或襯墊層係形成在該特徵結構定義的一側壁與底表面上;暴露鈷前驅物步驟,將該基板暴露至一鈷前驅物,以在該阻障層及/或襯墊層頂上形成一鈷種晶層;以及暴露釕處理步驟,將該鈷種晶層暴露至一釕處理,以形成一摻雜釕的鈷種晶層,該釕處理包含下列之至少一者:將釕實體植入該鈷種晶層、及/或將該鈷種晶層浸透在一含釕環境中,其中該浸透包含:使該釕前驅物與該氫氣共流(co-flowing);以及在約攝氏100度至約攝氏600度的一溫度下將該摻雜釕的鈷種晶層暴露至一浸透處理,該暴露浸透處理包含停止該釕前驅物的流動同時持續該氫氣的流動。
  18. 如請求項17所述之方法,該方法進一步包含以下步驟:在該摻雜釕的鈷種晶層上形成一鈷體層,以填充該特徵結構定義。
  19. 如請求項18所述之方法,其中該特徵結構定義具有大於10:1的一高深寬比。
  20. 如請求項18所述之方法,其中該釕處理為將該鈷種晶層浸透在該含釕環境中。
  21. 如請求項17所述之方法,該方法進一步包 含以下步驟:在一含氫氣體中,在從約攝氏100度至約攝氏900度的溫度下退火該摻雜釕的鈷種晶層。
TW107101636A 2017-01-24 2018-01-17 用於在基板上形成鈷層的方法 TWI758398B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762449791P 2017-01-24 2017-01-24
US62/449,791 2017-01-24

Publications (2)

Publication Number Publication Date
TW201842219A TW201842219A (zh) 2018-12-01
TWI758398B true TWI758398B (zh) 2022-03-21

Family

ID=62906567

Family Applications (2)

Application Number Title Priority Date Filing Date
TW111105367A TWI809712B (zh) 2017-01-24 2018-01-17 用於在基板上形成鈷層的方法
TW107101636A TWI758398B (zh) 2017-01-24 2018-01-17 用於在基板上形成鈷層的方法

Family Applications Before (1)

Application Number Title Priority Date Filing Date
TW111105367A TWI809712B (zh) 2017-01-24 2018-01-17 用於在基板上形成鈷層的方法

Country Status (7)

Country Link
US (2) US10410918B2 (zh)
EP (1) EP3574125B1 (zh)
JP (1) JP6980020B2 (zh)
KR (2) KR102565626B1 (zh)
CN (1) CN110214200B (zh)
TW (2) TWI809712B (zh)
WO (1) WO2018140282A1 (zh)

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
WO2019094481A1 (en) 2017-11-11 2019-05-16 Micromaterials Llc Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
CN111902929A (zh) 2018-03-09 2020-11-06 应用材料公司 用于含金属材料的高压退火处理
US10867848B2 (en) 2018-04-30 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11421318B2 (en) * 2018-05-04 2022-08-23 Applied Materials, Inc. Methods and apparatus for high reflectivity aluminum layers
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
JP7182970B2 (ja) * 2018-09-20 2022-12-05 東京エレクトロン株式会社 埋め込み方法及び処理システム
US11424132B2 (en) * 2018-11-03 2022-08-23 Applied Materials, Inc. Methods and apparatus for controlling contact resistance in cobalt-titanium structures
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11152258B2 (en) * 2019-09-17 2021-10-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming an interconnect in a semiconductor device
US11205589B2 (en) * 2019-10-06 2021-12-21 Applied Materials, Inc. Methods and apparatuses for forming interconnection structures
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11854878B2 (en) 2019-12-27 2023-12-26 Taiwan Semiconductor Manufacturing Ltd. Bi-layer alloy liner for interconnect metallization and methods of forming the same
DE102020127319A1 (de) * 2019-12-27 2021-07-01 Taiwan Semiconductor Manufacturing Co., Ltd. Zweischichtauskleidung für metallisierung
US11158538B2 (en) 2020-02-04 2021-10-26 International Business Machines Corporation Interconnect structures with cobalt-infused ruthenium liner and a cobalt cap
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TWI789848B (zh) * 2020-08-04 2023-01-11 嶺南大學校產學協力團 釕薄膜之形成方法
US11527437B2 (en) * 2020-09-15 2022-12-13 Applied Materials, Inc. Methods and apparatus for intermixing layer for enhanced metal reflow
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法
CN113013091A (zh) * 2021-02-22 2021-06-22 上海华力集成电路制造有限公司 金属互连结构的制造方法
US11742290B2 (en) 2021-03-10 2023-08-29 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect structure and method of forming thereof

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104205302A (zh) * 2012-03-28 2014-12-10 应用材料公司 实现无缝钴间隙填充的方法
US20150203961A1 (en) * 2014-01-21 2015-07-23 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6464779B1 (en) * 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US20070111519A1 (en) * 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
US7220671B2 (en) 2005-03-31 2007-05-22 Intel Corporation Organometallic precursors for the chemical phase deposition of metal films in interconnect applications
US7666781B2 (en) * 2006-11-22 2010-02-23 International Business Machines Corporation Interconnect structures with improved electromigration resistance and methods for forming such interconnect structures
JP5234718B2 (ja) * 2007-03-26 2013-07-10 株式会社アルバック 半導体装置の製造方法
US20090246952A1 (en) * 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
US8242600B2 (en) 2009-05-19 2012-08-14 International Business Machines Corporation Redundant metal barrier structure for interconnect applications
US20120141667A1 (en) 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US20120161320A1 (en) 2010-12-23 2012-06-28 Akolkar Rohan N Cobalt metal barrier layers
US8524600B2 (en) * 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8435887B2 (en) * 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US9514983B2 (en) * 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9190321B2 (en) * 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
WO2015047731A1 (en) * 2013-09-27 2015-04-02 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9768060B2 (en) * 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US10043709B2 (en) 2014-11-07 2018-08-07 Applied Materials, Inc. Methods for thermally forming a selective cobalt layer
US10002834B2 (en) * 2015-03-11 2018-06-19 Applied Materials, Inc. Method and apparatus for protecting metal interconnect from halogen based precursors
US9679810B1 (en) * 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
US10115670B2 (en) * 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US20180144973A1 (en) 2016-11-01 2018-05-24 Applied Materials, Inc. Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104205302A (zh) * 2012-03-28 2014-12-10 应用材料公司 实现无缝钴间隙填充的方法
US20150203961A1 (en) * 2014-01-21 2015-07-23 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures

Also Published As

Publication number Publication date
EP3574125A1 (en) 2019-12-04
EP3574125B1 (en) 2021-11-17
US20180211872A1 (en) 2018-07-26
CN110214200A (zh) 2019-09-06
JP2020506540A (ja) 2020-02-27
TWI809712B (zh) 2023-07-21
EP3574125A4 (en) 2020-10-21
KR20210146431A (ko) 2021-12-03
KR102470903B1 (ko) 2022-11-28
JP6980020B2 (ja) 2021-12-15
US10410918B2 (en) 2019-09-10
TW202231900A (zh) 2022-08-16
CN110214200B (zh) 2021-12-10
KR20190101497A (ko) 2019-08-30
WO2018140282A1 (en) 2018-08-02
KR102565626B1 (ko) 2023-08-10
TW201842219A (zh) 2018-12-01
US11043415B2 (en) 2021-06-22
US20200235006A1 (en) 2020-07-23

Similar Documents

Publication Publication Date Title
TWI758398B (zh) 用於在基板上形成鈷層的方法
JP7485736B2 (ja) 3d nandデバイス用の誘電体材料上におけるモリブデンを含有する低抵抗膜の成膜
JP6962955B2 (ja) シームレスのコバルト間隙充填を可能にする方法
KR102386744B1 (ko) 작은 임계 치수의 피쳐에서 텅스텐 컨택 저항을 개선하는 방법
JP6727359B2 (ja) シームレスのコバルト間隙充填を可能にする方法
TWI520268B (zh) 高溫鎢金屬化製程
US8586479B2 (en) Methods for forming a contact metal layer in semiconductor devices
TWI719269B (zh) 金屬膜之沉積
US20240014072A1 (en) Nitrogen plasma treatment for bottom-up growth
WO2023038905A1 (en) Process gas ramp during semiconductor processing