JP6947914B2 - 高圧高温下のアニールチャンバ - Google Patents

高圧高温下のアニールチャンバ Download PDF

Info

Publication number
JP6947914B2
JP6947914B2 JP2020508603A JP2020508603A JP6947914B2 JP 6947914 B2 JP6947914 B2 JP 6947914B2 JP 2020508603 A JP2020508603 A JP 2020508603A JP 2020508603 A JP2020508603 A JP 2020508603A JP 6947914 B2 JP6947914 B2 JP 6947914B2
Authority
JP
Japan
Prior art keywords
fluid
chamber
internal volume
conduit
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
JP2020508603A
Other languages
English (en)
Other versions
JP2020532106A (ja
Inventor
ジャン ドゥルマ,
ジャン ドゥルマ,
スティーヴン ヴァハヴェルベク,
スティーヴン ヴァハヴェルベク,
カーティス レシュキーズ,
カーティス レシュキーズ,
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of JP2020532106A publication Critical patent/JP2020532106A/ja
Application granted granted Critical
Publication of JP6947914B2 publication Critical patent/JP6947914B2/ja
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Vapour Deposition (AREA)

Description

本開示の実施形態は、概して、集積回路の作成に関し、特に、1つ以上の半導体基板をアニール処理するための装置及び方法に関する。
メモリ素子、論理素子、マイクロプロセッサ等といった半導体素子の形成には、半導体の基板に亘る1つ以上の膜の堆積が含まれている。上記膜は、半導体素子を製造するために必要とされる回路を作るために利用される。アニーリングは、堆積された膜に対する、その電気特性を改善するための様々な効果を実現するために利用される熱処理プロセスである。例えば、アニーリングは、ドーパントを活性化させるため、堆積された膜の密度を上げるため、又は成長した膜の状態を変えるために利用することが可能である。
半導体素子の外形は、数十年前に導入されて以来、大きさが著しく小さくなっている。上記素子の密度を上げることによって、空間的な寸法が減少するという構造的特徴が得られている。例えば、現在の半導体素子の構造的特徴を成す間隙及びトレンチのアスペクト比(深さと幅との比率)は、間隙に材料を充填することが非常に困難な挑戦となるポイントまで絞られてきた。
従って、現在の半導体素子の製造に関連する上記挑戦を叶えることが可能な、半導体基板をアニール処理するための改良された装置及び方法への必要性がある。
本開示の実施態様は、1つ以上の半導体基板にアニール処理するための装置及び方法に関する。一実施形態において、バッチ処理チャンバが開示される。バッチ処理チャンバは、処理領域を取り囲むチャンバ本体と、処理領域の中に処理流体を提供するよう構成されたガスパネルと、処理領域に流体連結されたコンデンサと、処理流体の凝縮点を上回る温度で処理流体を維持するよう構成された温度制御流体回路と、を備える。処理領域は、処理中に複数の基板を収容するよう構成される。コンデンサは、処理流体を凝縮させて液相とするよう構成される。
本開示の更に別の実施形態において、基板をアニール処理する方法が開示される。本方法は、基板を処理チャンバの処理領域の中に搬入することと、ガス導管を通る処理流体を処理領域の中に流すことと、ガス導管内及び処理領域内の処理流体を、処理流体の凝縮点を上回る温度で維持することを含む。
本開示の上述の特徴を詳しく理解しうるように、上記で簡単に要約されている本開示のより詳細な説明が、実施形態を参照することによって得られ、実施形態の一部は付随する図面に示されている。しかし、添付図面は例示的な実施形態のみを示すものであり、従って、本開示の範囲を限定すると見なすべきではなく、その他の等しく有効な実施形態も許容されうることに留意されたい。
1つ以上の基板をアニール処理するためのバッチ処理チャンバの、前方から見た簡略化した断面図である。 温度制御流体回路への接続を示す、バッチ処理チャンバの一部の部分的な断面図である。 1つの基板をアニール処理するためのシングル基板処理チャンバの、前方から見た簡略化した断面図である。 バッチ処理チャンバ内で利用されるガスパネル及びシングル基板チャンバの簡素化された概略図である。 処理チャンバ内で1つ以上の基板をアニール処理する方法のブロック図である。
理解を容易にするために、可能な場合には、複数の図に共通する同一の要素を指し示すのに同一の参照番号を使用した。一実施形態の要素及び特徴は、さらなる記述がなくとも、他の実施形態に有益に組み込まれ得ると想定されている。
本開示の実施態様は、1つ以上の半導体基板にアニール処理するための装置及び方法に関する。基板は、1つの基板として又はまとまった数量により、1つのチャンバ内でアニール処理されうる。基板は、アニーリングの間には、高圧高温下で処理流体に晒されている。1つ以上の基板が処理されるときには、処理流体は、ガスパネルから、温度制御流体回路を通ってチャンバの中へと流される。処理流体は、流体回路に結合された1つ以上のヒータによって、処理流体の凝縮点を上回る温度で維持されている。流体回路は、コンデンサに結合されており、コンデンサでは、アニーリングが完了した後に処理流体が凝縮されて液相となる。流体回路上のヒータは、流体回路の様々な部分と接続された温度センサを通じて得られた温度測定値からの情報を用いて、制御されている。図1に示し本明細書に記載するバッチ処理チャンバ100、及び、図2に示し本明細書に記載するシングル基板処理チャンバ200は、高温において高圧アニール処理を行う目的で利用することが可能である。
図1は、高温でのアニール処理のためのバッチ処理チャンバ100の、前方から見た簡略化された断面図である。バッチ処理チャンバ100は本体110を有し、本体110は、外表面112と、内部容積室115を取り囲む内表面113と、を有する。図1といった幾つかの実施形態では、本体110は、環状の断面を有しているが、他の実施形態では、本体110の断面が矩形又は任意の閉じた形状であってよい。本体110の外表面112は、ステンレス鋼といった耐食鋼(CRS:corrosion resistant steel)で作製されうるが、これに限定されない。任意に、外表面112は、バッチ処理チャンバ100から外部環境への熱損失を防止する断熱層で被覆されうる。本体110の内表面113は、HASTELLOY(登録商標)、ICONEL(登録商標)、及びMONEL(登録商標)といった、腐食に対して高い耐性を有するニッケル合金鋼で作られ又は被覆されうるが、これらに限定されない。任意に、本体110が、ニッケル合金鋼で作製されうる。
バッチ処理チャンバ100は、本体110の内部の内部容積室115をシール可能に密閉するよう構成されたドア120を有しており、これにより、ドア120が開けられたときには、基板が内部容積室115の中へと及び内部容積室115から外へと移送されうる。高圧シール部122が、処理中に、本体110に対してドア120をシールするために利用される。高圧シール部122は、ペルフロウロエラストマーといった高温重合体で作られうるが、これに限定されない。冷却チャネル124が、ドア120に、又は高圧シール部122に隣接する本体110に配置されており、従って、高圧シール部122が、高圧シール部122の最大安全動作温度より低く維持される。不活性の熱伝導流体、誘電性の熱伝導流体、高性能の熱伝導流体といった冷却剤が、冷却チャネル124内を循環させられるが、これらには限定されない。冷却チャネル124の中の冷却剤の流れは、コントローラ180によって、温度センサ116又は流量センサ(図示せず)から受信されるフィードバックを通じて制御される。
対流防止パネル142が、ドア120とカセット130との間に配置されうる。対流防止パネル142は内部容積室115を、カセット130が存在する加熱処理領域102と、ドア120の近傍の冷却領域104と、に分ける。対流防止パネル142は、概して、チャンバ本体110と同じ材料で作製された金属板である。対流防止パネル142は、ドア120、カセット130、又は他の適切な構造物に結合されうる。対流防止パネル142は、カセット130に対向する面144であって、カセット130が存在する領域から、ドア120の近傍の本体110の領域への伝熱量を低減するよう構成された面144を含みうる。上記面144は、加熱処理領域102と冷却領域104との間の対流を抑止するのに充分なほどの大きさでありうる。上記面144は、研磨面又は熱反射コーティングも有しうる。対流防止パネル142によって、冷却領域104と隣接するチャンバ本体110の部分が、加熱処理領域102と隣接するチャンバ本体110の部分から遮蔽され、当該部分よりも低い温度で維持される。従って、ドア120の近傍にあり冷却領域104と隣接するチャンバ本体110の部分と接するシール部122が、その最大動作温度の超過により機能しなくなる可能性は低い。
バッチ処理チャンバ100は、本体110を貫通して形成されたポート117を有している。ポート117は、温度制御流体回路190に流体連結されている。流体回路190は、ガスパネル150と、コンデンサ160と、ポート117と、を接続する。流体回路190は、ガス導管192と、ソース導管157と、入口遮断弁155と、排気導管163と、出口遮断弁165とを有している。1つ以上のヒータ152、154、158、196、164、166が、流体回路190の様々な部分と接続されている。1つ以上の温度センサ151、153、119、167及び169が、温度測定値獲得してコントローラ180に温度測定情報を提供するために、流体回路190の様々な部分と接続されている。
ガス導管192は、一端が、ポート117を通じて内部容積室115に流体連結している。ガス導管192は、チャンバ導管118、T字型導管194、入口導管159、及び出口導管161を含む4つの部分を有している。T字型導管194は、3つの末端、即ち、入口導管159に接続された第1の末端と、出口導管161に接続された第2の末端と、チャンバ導管118に接続された第3の末端とを有している。チャンバ導管118は、ポート117を介して、内部容積室115に流体連結している。入口導管159は、入口遮断弁155を介して、ソース導管157に流体連結している。出口導管161は、出口遮断弁165を介して、排気導管163に流体連結している。ソース導管157は、ガスパネル150に流体連結している。排出管163が、コンデンサ160に流体連結している。
チャンバ導管118は、ヒータ158と連携している。T字型導管194、入口導管159、及び出口導管161は、ヒータ196と連携している。ソース導管157は、ヒータ152と連携している。入口遮断弁155は、ヒータ154と連携している。出口遮断弁165は、ヒータ164と連携している。排気導管163は、ヒータ166と連携している。ヒータ152、154、158、196、164、及び166は、流体回路190を通る処理流体を、処理流体の凝縮点を上回る温度で維持するよう構成される。例えば、ヒータ152、154、158、196、164、及び166は、流体回路190を通る処理流体を、当該処理流体が乾燥蒸気又は過熱蒸気として維持される温度で維持するよう構成されうる。任意に、ヒータ152、154、158、196、164、及び166は、外部環境への熱損失を防止ために、断熱層で被覆されうる。ヒータ152、154、158、196、164、及び166は、ランプ、抵抗加熱要素、伝導流体を流すための流体導管、又は、他の適切な加熱素子であってよい。一実施形態において、ヒータは、流体回路の上記要素の周りに巻回される抵抗ストリップである。ヒータ152、154、158、196、164、及び166は、電源145に個別に接続されている。一実施形態において、ヒータ152、154、158、196、164、及び166の各々は、別々に制御されうる。
温度センサ151は、ソース導管157と連携しており、ソース導管157の温度を測定するよう構成されている。温度センサ153は、入口遮断弁155と連携しており、入口遮断弁155の温度を測定するよう構成されている。温度センサ119は、チャンバ導管118と連携しており、チャンバ導管118の温度を測定するよう構成されている。温度読取装置156が、温度センサ151、153及び119から温度測定値を受信して表示する。温度センサ167は、出口遮断弁165と連携しており、出口遮断弁165の温度を測定するよう構成されている。温度センサ169は、排気導管163と連携しており、排気導管163の温度を測定するよう構成されている。温度読取装置162が、温度センサ167及び169から温度測定値を受信して表示する。温度読取装置156及び162は、コントローラ180に温度測定情報を送信する。センサ151、153、119、167及び169は、赤外線センサといった非接触センサ、又は、熱電対といった接触センサであってよい。
入口遮断弁155及び出口遮断弁165は、シャットオフ(shutoff)弁である。入口遮断弁155が開いているときには、出口遮断弁165は閉じられており、これにより、ソース導管157を通る処理流体が、ガス導管192の中へと及び内部容積室115の中へと進入し、コンデンサ160の中への処理流体の流れが防止される。その一方で、出口遮断弁165が開いているときには、入口遮断弁155が閉じられており、これにより、ガス状生成物が内部容積室115から移動させられて、排気導管163を通ってコンデンサ160の中に入り、ガスパネル150の中へのガス状生成物の流れが防止される。
ガスパネル150は、処理流体を、ガス導管192を通じた内部容積室115への転送のために、加圧下でソース導管157の中へと提供するよう構成されている。図3に示すように、ガスパネル150は、処理流体入口310と、任意の不活性ガス入口320と、パージガス入口340と、共有出口導管357と、を含んでいる。処理流体入口310は、流体源(図示せず)に流体連結されている。流体源は、加熱されて気相となり処理流体として利用される水又は他の適切な流体でありうる。処理流体入口310は、導管312、314、及び遮断弁315によって、気化器350に流体連結されている。遮断弁315は、流体源からの流れが気化器350に進入することを防止する第1の(即ち、閉じられた)状態を有する。遮断弁315は、流体源からの流れが気化器350に進入することが可能となる第2の(即ち、開けられた)状態を有する。遮断弁315はまた、気化器350の中へと流れる処理流体の量を調整する質量流量メータを備えて構成され又は利用される。気化器350は、処理流体を気相に変換するよう構成されている。一例において、気化器350は、水を蒸気に変換する。一例において、気化器350は、水を乾燥蒸気又は過熱蒸気に変換する。
気化器350は、導管352により、共有入口導管354に流体連結されている。気化器350及び共有入口導管354はまた、導管332により、圧力安全弁330に流体連結されている。圧力安全弁330は、導管352内の過剰圧力を逃がすよう構成され、従来技術では一般的に知られている。
任意の不活性ガス入口320が、圧力制御ガス源(図示せず)からの圧力制御ガスを提供するよう構成されており、この任意の不活性ガス入口320は、共有入口導管354を通って伝達される処理流体の圧力を制御するために利用される。ガス源により提供される圧力制御ガスは、窒素、アルゴン等、又は、他の適切なガスといった、反応性ガス又は不活性ガスであってよいが、これらには限定されない。不活性ガス入口320が、遮断弁325及び導管322、324によって、共有入口導管354に流体連結されている。遮断弁325は、圧力制御ガス源からの流れが、導管324を通って共有入口導管354に進入することを防止する第1の(即ち、閉じられた)状態を有する。遮断弁325は、圧力制御ガス源からの流れが、導管324を通って共有入口導管354に進入することが可能となる第2の(即ち、開かれた)状態を有する。遮断弁325はまた、共有入口導管354の中へと流れる圧力制御ガスの量を調整するための質量流量メータを備えて構成され又は利用される。
共有入口導管354は、弁355及び導管356によって、共有出口導管357に流体連結されている。弁355が、流体回路190から、気化器350及び不活性ガス入口320を選択的に遮断する遮断弁として構成されうる。共有出口導管357は、ソース導管157に流体連結されており、ガスパネル150を入口遮断弁155に結合する。他の例において、弁355は、気化器350及び不活性ガス入口320を通って、流体回路190からチャンバ本体110の内部容積室155へと流れる処理流体の量を選択的に制御するための流量制御弁として構成されうる。流量制御弁の例として、とりわけ、ニードル弁、スロットル弁、及び調節弁が含まれる。
パージガス入口340は、共有出口導管357を通じてソース導管157にも接続されている。パージガス入口340は、パージガス源(図示せず)に結合されている。パージガスは、窒素、空気、アルゴン等といった不活性ガスであってよいが、これらには限定されない。パージガスは、望まれるときには、共有出口導管357及び流体回路190から、残留している処理流体を取り除くために利用されうる。パージガス入口340は、遮断弁345によって、共有出口導管357に流体連結されている。パージガス入口340は、導管342によって、遮断弁345に流体連結されている。遮断弁345は、共有出口導管357から、パージガス入口340を選択的に遮断するよう構成されている。遮断弁345は、導管344によって、共有出口導管357に流体連結されている。
幾つかの実施形態において、遮断弁315、325、345及び355はシャットオフ弁である。遮断弁315、325、345及び355の動作は、コントローラ180によって制御される。内部容積室115の中に導入される処理流体の圧力は、本体110に結合された圧力センサ114によって監視される。流体回路190が内部容積室115に継続的に結合されている間は、圧力センサ114は、流体回路190の内部の圧力を決定するためにも利用されうる。流体回路190及び内部容積室115が、流体回路190と内部容積室115との間に配置された遮断弁を有し又は圧力の著しい変化を予測するよう構成された実施形態において、流体回路190と内部容積室115の各々に、別々の圧力センサ114が具備されうる。
コンデンサ160は、冷却流体源(図示せず)に流体連結されており、ガス導管192を通って内部容積室115を出る気相の処理流体を凝縮させるよう構成されている。コンデンサ160における相変化によって、処理流体が内部容積室115及び流体回路190から引き寄せられ、これにより、ガスを除去する必要性が最小になる。任意に、コンデンサ160を出る上記液化した処理流体が、遮断弁175を介して、熱交換器170を通るよう経路が定められうる。熱交換器170は、上記液化した処理流体をさらに冷却するよう構成され、これにより、処理流体がより簡単に管理されうる。コンデンサ160は、コンデンサ導管168によって、遮断弁175に流体連結されている。熱交換器170は、熱交換導管172によって、遮断弁175に結合されている。ポンプ176が、ポンプ導管174によって熱交換器170に流体連結されており、液状になった処理流体を、熱交換器170から、再循環、再利用、又は廃棄のための容器へと圧送する。
1つ以上のヒータ140が、本体110に配置されており、バッチ処理チャンバ100の本体110を加熱するよう構成されている。幾つかの実施形態において、ヒータ140は、図1に示すように、本体110の外表面112に配置されている。各ヒータ140は、抵抗コイル、ランプ、セラミックヒータ、グラファイト系CFC(carbon fiber composite、炭素繊維複合材)ヒータ、ステンレス鋼ヒータ、又はアルミヒータでありうる。ヒータ140は、電源145により電力が供給される。ヒータ140への電力は、温度センサ116から受信されるフィードバックを通じて、コントローラ180によって制御される。温度センサ116は本体110に結合されており、本体110の温度を監視する。一例において、ヒータ140は、内部容積室155の中に配された処理流体の凝縮点を上回る温度で本体110を維持する。
1つ以上のヒータ146が、本体110の中に配置されており、カセット130の中に配置された基板135を、バッチ処理チャンバ100の内部容積室115の中に存在する間加熱するよう構成されている。各ヒータ146は、抵抗コイル、ランプ、セラミックヒータ、グラファイト系CFC(carbon fiber composite、炭素繊維複合材)ヒータ、ステンレス鋼ヒータ、又はアルミヒータでありうる。図1に示す実施形態では、ヒータ146は抵抗加熱器である。ヒータ146は、電源145によって電力が供給される。ヒータ146への電力は、温度センサ(図示せず)から受信されるフィードバックを通じて、コントローラ180によって制御される。温度センサが本体110の中に配置可能であり、内部容積室115の温度を監視することが可能である。一例において、ヒータ146は、カセット130の中に配置された基板135を、バッチ処理チャンバ100の内部容積室115の加熱処理領域102の中にある間、摂氏300度を上回る温度で、例えば、摂氏300度と約450度の間、又は、摂氏300度と約500度の間の温度で維持するよう動作可能である。
ヒータ146は概して、内部容積室155の加熱処理領域102を、流体回路190の温度を著しく上回る温度で維持するため、流体回路190を出て加熱処理領域102の中に入る乾燥蒸気は、過熱状態となる。過熱乾燥蒸気は、有利に、加熱処理領域102内で液化せず、この場合、処理チャンバ100の中で処理されている基板135上で流体が液化することが防止される。
アクチュエータ(図示せず)に結合されたカセット130は、内部容積室115の中へと及び内部容積室115から外へと移動させられる。カセット130は、頂面132と、底面134と、壁部136とを有している。カセット130の壁部136は、複数の基板ストレージスロット138を有している。各基板ストレージスロット138は、カセット130の壁部136に沿って均等に間隔が置かれている。各基板ストレージスロット138は、基板135をその中で保持するよう構成される。カセット130は、基板135を保持するために、50個もの基板ストレージスロット138を有しうる。カセット130によって、バッチ処理チャンバ100の中へと及びバッチ処理チャンバ100から外へと複数の基板135を移送するためと、内部容積室115内で複数の基板135を処理するためと、の双方のために効率の良い移送手段が提供される。
コントローラ180は、中央処理装置(CPU:central processing unit)182、メモリ184、及び補助回路186を含んでいる。CPU182は、産業用設定で使用されうる任意の形態の汎用コンピュータプロセッサでありうる。メモリ184は、ランダムアクセスメモリ(random access memory)、読み出し専用メモリ、フロッピィ、又は、ハードディスクドライブ、又は、他の形態によるデジタルストレージでありうる。補助回路186は、従来ではCPU182に接続されており、キャッシュ、クロック回路、入力/出力システム、電源等を含みうる。
コントローラ180は、バッチ処理チャンバ100の様々な構成要素の動作を制御する。コントローラ180は、ガスパネル150、コンデンサ160、ポンプ176、入口遮断弁155、出口遮断弁165、及び電源145の動作を制御する。コントローラ180はまた、温度センサ116、圧力センサ114、冷却チャネル124、並びに、温度読取装置156及び162に通信可能に接続されている。コントローラ180は、入力として、基板を処理するために選択された処理流体の種類を受信する。処理流体の種類がコントローラ180によって一旦受信されると、コントローラ180は、処理流体を気体状態に維持するターゲット圧力及びターゲット温度の範囲を決定する。コントローラ180は、ヒータ140、152、154、158、196、164、及び166の動作、並びに、内部容積室115及び流体回路190の内部で提供される圧力を制御するために、温度センサ116、151、153、119、167、169及び圧力センサ114からの情報を利用する。ヒータにより供給される制御された熱、及び、圧力制御ガスに提供される圧力は、流体回路190及び内部容積室115の中に配される処理流体を、適用される圧力及び温度について処理流体の凝集点よりも高い温度で維持するために利用される。コントローラ180は、ガスパネル150内の遮断弁315、325、345及び355の動作を制御するために圧力センサ114からの情報を利用して、流体回路190の中に処理流体を最適に供給し、適用される温度での処理流体の凝縮圧力よりも低い圧力で処理流体を維持する。従って、内部容積室115及び流体回路190の温度及び圧力が、処理流体が気相であり続けるように、維持される。
処理流体が、バッチ処理チャンバ100内の基板の所望のアニーリングについての処理要件に従って選択されることが考えられる。処理流体は、酸素、蒸気、水、過酸化水素、及び/又はアンモニアといった、酸素含有ガス及び/又は窒素含有ガスを含みうる。酸素含有ガス及び/又は窒素含有ガスとは代替的に、又は酸素含有ガス及び/又は窒素含有ガスに加えて、処理流体は、オルガノケイ素、オルトケイ酸テトラアルキルガス、及び、ジシロキサンガスといったシリコン含有ガスを含みうるが、これらには限定されない。幾つかの実施形態において、処理流体は、約5バールと約80バールとの間の圧力下の蒸気又は乾燥蒸気であってよく、温度は、摂氏約150度と約250度との間で維持され、又は、摂氏約500度もの高さですら維持されうる。このことによって、乾燥蒸気が内部容積室115及び流体回路190内で凝縮されて水とならず、さらに加えて、乾燥蒸気が、処理のために基板135が過熱乾燥蒸気に晒される加熱処理領域102内で、過熱乾燥蒸気となりうることが保証される。
図1Aは、温度制御流体回路190Aへの接続を示す、他のバッチ処理チャンバ106の一部の部分的な断面図である。バッチ処理チャンバ106は、基本的に、上述したバッチ処理チャンバ106と同じであるが、図1で示したコンデンサ160及びガスパネル150の双方へと1つのポート117が温度制御流体回路190を結合する代わりに、図1Aのバッチ処理チャンバ106は、内部容積室115を温度制御流体回路190Aのガスパネル150に結合する第1のポート117Aと、温度制御流体回路190Aのコンデンサ160へと内部容積室115を結合する第2のポート117Bと、を含んでいる。
温度制御流体回路190Aは、基本的には、温度制御流体回路190と同一であり、下付き文字のA及びBは、ガスパネル側(A)及びコンデンサ側(B)に結合された要素を示している。温度制御流体回路190の中のコンデンサ160及びガスパネル150を共通のチャンバ導管118を通じてチャンバ本体110の内部容積室115に流体連結する温度制御流体回路190とは異なって、温度制御流体回路190Aは、コンデンサ160及びガスパネル150を流体的に遮断して、コンデンサ160及びガスパネル150を別々に、別々のチャンバ導管118A、118Bを通じて、チャンバ本体110の内部容積室115に別々の固有ポート117A、117Bを通じて結合する。
図2は、高温での1個の基板の高圧アニール処理のためのシングル基板処理チャンバ200の、前方から見た簡素化された断面図である。シングル基板処理チャンバ200は、本体210を有し、本体210は、外表面212と、内部容積室215を取り囲む内表面213と、を有する。図2のような幾つかの実施形態では、本体210は環状の断面を有しているが、他の実施形態において、本体210の断面は矩形又は任意の閉じた形状であってよい。本体210の外表面212は、ステンレス鋼といった耐食鋼(CRS:corrosion resistant steel)で作製されうるが、これに限定されない。1つ以上の遮熱材225が、本体210の内表面213に配置されており、シングル基板処理チャンバ200から外部環境への熱損失を防止する。本体210の内表面213及び遮熱材225は、HASTELLOY(登録商標)、ICONEL(登録商標)、及びMONEL(登録商標)といった、腐食に対して高い耐性を有するニッケル合金鋼で作られうるが、これに限定されない。
基板支持体230が、処理容積室215の中に配置されている。基板支持体230は、脚部234と、脚部234により保持される基板支持部材232と、を有している。脚部234は、チャンバ本体210を貫通して形成された通路222を通っている。アクチュエータ238に接続されたロッド239が、チャンバ本体210を貫通して形成された第2の通路223を通っている。ロッド239は、プレート235に結合されており、プレート235は、基板支持体230の脚部234を収容する開口部236を有している。リフトピン237が、基板支持部材232に接続されている。リフトピン237と接続し又はリフトピン237から切断するためにプレート235が上下に動かされるように、アクチュエータ238がロッド239を作動させる。リフトピン237が上げられ又は下げられる間、基板支持部材232が、チャンバ200の内部容積室215の中で上げられ又は下げられる。基板支持部材232の内部の中央には、抵抗加熱素子231が埋め込まれている。電源233が、抵抗加熱素子231に電気を供給するよう構成されている。電源233及びアクチュエータ238動作は、コントローラ280によって制御されている。
シングル基板処理チャンバ200は、本体210に開口部211を有しており、開口部211を通じて、1つ以上の基板220が、内部容積室215内に配置された基板支持体230へと搬入され及び基板支持体230から搬出されうる。開口部211は、本体210にトンネル部221を形成する。スリット弁228は、当該スリット弁228が開いているときには開口部211及び内部容積室215のみにアクセスしうるように、トンネル部221をシール可能に閉鎖するよう構成されている。高圧シール部227が、スリット弁228を本体210に対してシールするために利用され、これにより、処理のために内部容積室215がシールされる。高圧シール部227は、ポリマーで作製され、例えば、ペルフルオロエラストマー、ポリテトラフルオロエチレン(PTFE:polytetrafluoroethylene)といったフルオロポリマーで作製されるが、これらには限定されない。高圧シール部227は、シール性能を改善するためにシール部を付勢するバネ部材をさらに含みうる。冷却チャネル224が、高圧シール部227の近傍のトンネル部221に配置されており、これにより、処理中に高圧シール部227が、高圧シール部227の最大安全動作温度を下回る温度で維持される。不活性の熱伝導流体、誘電性の熱伝導流体、高性能の熱伝導流体といった冷却流体源226からの冷却剤が、冷却チャネル224の内を循環させられうる。冷却流体源226からの冷却剤の流れは、温度センサ216又は流量センサ(図示せず)から受信されるフィードバックを通じて、コントローラ280によって制御される。環状に成形された熱チョーク229が、トンネル部221の周りに形成されており、スリット弁228が開いているときには、内部容積室215から開口部211を通る熱流が防止される。
シングル基板処理チャンバ200は、本体210を貫通するポート217を有しており、ポート217は、ガスパネル250、コンデンサ260、及びポート217を接続する流体回路290に流体連結されている。流体回路290は、流体回路190と実質的に類似した構成要素、及び流体回路190と実質的に類似したやり方による機能を有している。流体回路290は、ガス導管292と、ソース導管257と、入口遮断弁255と、排気導管263と、出口遮断弁265とを有している。複数のヒータ296、258、252、254、264、266が、流体回路290の様々な部分と連携している。複数の温度センサ251、253、219、267及び269も、温度測定値を収集してその情報をコントローラ280に送るために、流体回路290の様々な部分に配置されている。コントローラ280は、ヒータ252、254、258、296、264、及び266の動作を制御するために温度測定情報を利用し、これにより、流体回路290の温度が、流体回路290内及び内部容積室215内に配される処理流体の凝縮点を上回る温度で維持される。
ガスパネル250及び圧力センサ214は、性質及び機能が、ガスパネル150及び圧力センサ114と実質的に類似している。コンデンサ260は、性質及び機能が、コンデンサ160と実質的に類似している。ポンプ270は、性質及び機能が、ポンプ176と実質的に類似している。1つ以上のヒータ240が、本体210に載置されており、シングル基板処理チャンバ200の中の内部容積室215を加熱するよう構成されている。ヒータ240も、性能及び機能が、バッチ処理チャンバ100内で使用されるヒータ140と実質的に類似している。
コントローラ280が、シングル基板処理チャンバ200の動作を制御する。コントローラ280は、ガスパネル250、コンデンサ260、ポンプ270、入口遮断弁255、出口遮断弁265、電源233及び245の動作を制御する。コントローラ280はさらに、温度センサ216、圧力センサ214、アクチュエータ238、冷却流体源226、並びに、温度読取装置256及び262と通信可能に接続されている。コントローラ280は、性質及び機能が、バッチ処理チャンバ100内で利用されているコントローラ180と実質的に類似している。
バッチ処理チャンバ100によって、処理流体を用いて高温高圧下で1つ以上の基板のアニーリングを行う便利な処理チャンバが提供される。ヒータ140には、処理チャンバ100を加熱して、処理流体の凝縮点を上回る温度で内部容積室115で維持するために電力が供給される。同時に、ヒータ152、154、158、196、164、及び166には、流体回路190を加熱するために電力が供給される。
複数の基板135が、バッチ処理チャンバ100の中に配置されるようカセット130で搬入される。バッチ処理チャンバ100のドア120が開けられて、カセット130が、内部容積室115の中に移動させられる。その後で、処理チャンバ100の中で基板135をシールするためにドア120が閉じられる。シール部122は、ドア120が一旦閉じられると内部容積室115からの漏れがないことを保証する。
処理流体が、ガスパネル150によって、処理チャンバ100の内側で画定された内部容積室115の中に提供される。入口遮断弁155が開けられて、処理流体が、ソース導管157及びガス導管192を通って内部容積室115の中へと流れることが可能となる。このとき、出口遮断弁165は閉じられた状態に保たれている。処理流体が加えられる際の圧力は、徐々に上げられうる。内部容積室115内に充分な量の処理流体が存在するときには、入口遮断弁155は閉じられている。代替的に、処理流体は、基板135が処理される間、継続的に内部容積室115を流過しうる。
処理中に、内部容積室115及び流体回路190は、処理流体が気相で維持されるように或る温度及び或る圧力で維持されている。内部容積室115及び流体回路190の温度は、適用された圧力での処理流体の凝縮点よりも高い温度で維持される。内部容積室115及び流体回路190は、適用された温度での処理流体の凝縮点よりも低い圧力で維持される。
上記処理は、上記処理条件で処理流体に晒すことを通じて、基板135が所望の効果に達すると完了する。その後で、出口遮断弁165が開けられて、内部容積室115からガス導管192及び排気導管163を通ってコンデンサ160の中へと処理流体が流される。処理流体が、コンデンサ160内で凝縮されて液相となる。最適な熱交換器170が、流体の処理を容易にするために、液相の処理流体をさらに冷却しうる。その後で、液化した処理流体がポンプ176によって除去される。液化した処理流体が除去されると、出口遮断弁165が閉じる。ヒータ140、152、154、158、196、164、及び166によって、流体回路内の処理流体が気相で維持される一方、流体回路内での液化を防止するために、コンデンサ160への出口遮断弁165が開けられる。その後で、バッチ処理チャンバ100のドア120が、内部容積室115から基板135を移動させるために開けられる。
シングル基板処理チャンバ200は、バッチ処理チャンバ100と実質的に同じやり方で動作する。シングル基板処理チャンバ200は、基板支持体230に載置された1つの基板220にアニール処理をするために利用される。スリット弁228が開けられて、基板220が、トンネル部221を通って、内部容積室215内の基板支持体230へと搬送される。ヒータ252、254、258、296、264、及び266によって、流体回路の中の処理流体は、内部容積室215へと伝達される間気相で維持される。
図4は、本開示の一実施形態に係る、処理チャンバ内で1つ以上基板をアニール処理する方法400のブロック図である。方法400は、ブロック410で、1つ以上の基板を処理チャンバの処理領域の中に搬入することで開始される。例えば、1つの基板が、シングル基板処理チャンバ内に配置された基板支持体で搬入されうる。そうでなければ、複数の基板が、配置されたカセットで、バッチ処理チャンバの中に搬入されうる。
ブロック420では、処理流体が、ガス導管を通って、シングル基板処理チャンバの中の処理領域の中へと又はバッチ処理チャンバの中へと流される。幾つかの実施形態において、処理流体は、高圧化の処理流体でありうる。1つの基板又は複数の基板が、アニーリング処理の間、高温の処理流体に晒される。処理が完了した後で、処理流体はガス導管を通じて処理領域から除去され、コンデンサにより液化されて液相となる。続いて、液化した処理流体がポンプにより除去される。
ブロック430において、ガス導管の中の処理流体が、処理流体の凝縮点を上回る温度で維持される。ガス導管は、ガス導管を流過する処理流体を、処理流体の凝縮点を上回る温度で維持するよう構成された1つ以上のヒータに結合されており、これにより、処理流体が気相のままである。幾つかの実施形態において、上記ヒータは、電源により電気が供給される抵抗加熱素子を含みうる。ガス導管は、ガス導管の温度を測定するよう動作可能な1つ以上の温度センサを有している。ガス導管からの温度測定値がコントローラに送信され、コントローラは、ガス導管上のヒータの動作を制御するために上記情報を利用する。
基板を処理するために選択される処理流体の種類が、コントローラのユーザインタフェースに入力され、又は、他のチャネルを介して、コントローラへと提供される。コントローラは、流体回路及びチャンバ本体の様々な部分と連携するヒータの動作を制御するために、及び、流体回路内及び処理領域内に存在する処理流体を、感知された圧力について、処理流体の凝縮点を上回る温度で維持するために、温度センサ及び圧力センサからの情報を利用する。コントローラはまた、ガスパネルから流体回路の中への処理流体及び圧力制御ガスの流れを制御するために、及び、感知された温度での処理流体の凝集圧力より低い圧力で処理流体を維持するためにも、チャンバ本体に結合された温度センサ及び圧力センサからの情報を利用する。従って、処理領域及び流体回路の温度及び圧力が、処理流体が気相のままであるように維持される。一例において、圧力が、約5バールと約35バールの間で維持され、温度が、摂氏約150度と約250度との間で維持され、これにより、優勢的に蒸気の形態の処理流体が、気相のままである。
処理チャンバ100、200で利用される流体回路190、290によって、流体回路190、290を通って高圧アニーリングチャンバの中へと流れる処理流体の温度を制御して、当該処理流体の凝集点を上回る温度で維持するという利点が提供される。流体回路190、290の様々な部分に結合された複数のヒータ及び温度センサによって、コントローラ180、280が、流体回路190、290、及び処理チャンバ100、200内の内部容積室115、215への熱供給を制御し維持することが支援される。結果として、処理流体の液化が防止されて、処理流体が気相のまま維持される。
バッチ処理チャンバ100によって、複数の基板をバッチ処理により同時に同じ条件下でアニール処理することが可能となり、従って、各基板の処理コストが低減される。その一方で、シングル基板処理チャンバ200によって、より効率の良い基板の処理が可能となり、従って、アニール処理される各基板に対する優れた基板温度制御が提供される。さらに、シングル基板処理チャンバ200は、真空クラスタ処理ツールと容易に一体化するが可能であり、従って、素子の集積化のために要求される、優れた基板処理及び処理チャンバの統合が提供される。
前述の記載は、本開示の特定の実施形態に関するが、上記実施形態が、本発明の原理及び用途の単なる例示であると理解されたい。従って、例示的な実施形態に対して数多くの変更を行うことが可能であり、添付の特許請求項の範囲で定義された、本発明の思想及び範囲を逸脱することなく、他の実施形態に想到すると理解されたい。

Claims (15)

  1. 高圧下で高温で基板をアニール処理するためのバッチ処理チャンバであって、
    内部容積室を取り囲むチャンバ本体であって、前記内部容積室はその中に配置された複数の基板を収容するよう構成される、チャンバ本体と、
    処理流体を前記内部容積室の中へと提供するよう構成されたガスパネルと、
    前記内部容積室に流体連結され、前記処理流体を凝縮させて液相とするよう構成されたコンデンサと、
    前記処理流体の凝縮点を上回る温度で前記処理流体を維持するよう構成された温度制御流体回路
    を備え、
    前記温度制御流体回路は、
    第1の末端が前記チャンバ本体のポートに流体連結され、第2の末端が前記ガスパネルに流体連結され、及び、第3の末端が前記コンデンサに流体連結されたガス導管と、
    第1の末端が前記ガスパネルに流体連結され、第2の末端が入口遮断弁により前記ガス導管に流体連結されたソース導管と、
    第1の末端が前記コンデンサに流体連結され、第2の末端が出口遮断弁により前記ガス導管に流体連結された排気導管と、
    前記ソース導管と、前記排気導管と、前記ガス導管との各々に結合された1つ以上のヒータであって、前記ソース導管と前記排気導管と前記ガス導管とを流過する前記処理流体を、前記温度制御流体回路を流過する前記処理流体の凝縮点を上回る温度で維持するよう構成される1つ以上のヒータと
    を備え、バッチ処理チャンバ。
  2. 前記チャンバ本体が、前記ガス導管の温度を測定するよう動作可能な1つ以上の温度センサをさらに備える、請求項1に記載のバッチ処理チャンバ。
  3. 前記チャンバ本体をシール可能に閉じるよう構成されたドアの近傍に配置された冷却チャネルを更に備える、請求項1に記載のバッチ処理チャンバ。
  4. 前記チャンバ本体は、ニッケル超合金で作製される、請求項1に記載のバッチ処理チャンバ。
  5. 前記チャンバ本体内に配置された対流防止板であって、前記内部容積室を、前記基板が処理される加熱処理領域と、チャンバ本体ドアの近傍の冷却領域と、に分ける対流防止板を更に備える、請求項1に記載のバッチ処理チャンバ。
  6. 高圧下で高温で基板をアニール処理するためのバッチ処理チャンバであって、
    内部容積室を取り囲むチャンバ本体であって、前記内部容積室はその中に配置された複数の基板を収容するよう構成される、チャンバ本体と、
    処理流体を前記内部容積室の中へと提供するよう構成されたガスパネルと、
    前記内部容積室に流体連結され、前記処理流体を凝縮させて液相とするよう構成されたコンデンサと、
    前記チャンバ本体内に配置された対流防止板であって、前記内部容積室を、前記基板が処理される加熱処理領域と、チャンバ本体ドアの近傍の冷却領域と、に分ける対流防止板と、
    前記処理流体の凝縮点を上回る温度で前記処理流体を維持するよう構成された温度制御流体回路であって、第1の末端が前記チャンバ本体のポートに流体連結され、第2の末端が前記ガスパネルに流体連結され、及び、第3の末端が前記コンデンサに流体連結されたガス導管を含む温度制御流体回路と
    を備える、バッチ処理チャンバ。
  7. 請求項1から6のいずれか一項に記載の前記バッチ処理チャンバ内で基板をアニール処理する方法であって、
    複数の基板を前記バッチ処理チャンバの前記内部容積室に搬入することと、
    前記ガス導管を通して、処理流体を前記内部容積室の中に流すことと、
    前記ガス導管内及び前記内部容積室内の前記処理流体を、前記処理流体の凝縮点を上回る温度で維持すること
    を含む、方法。
  8. 前記内部容積室内の前記処理流体を、前記ガス導管内の前記処理流体の温度を上回る温度で維持することをさらに含む、請求項7に記載の方法。
  9. 前記ガス導管内の前記処理流体を、摂氏150度を上回る温度で維持することをさらに含む、請求項7に記載の方法。
  10. 前記基板を摂氏約350度と500度の間の温度で維持することをさらに含む、請求項7に記載の方法。
  11. 前記内部容積室内の前記処理流体を、約5バールを上回る圧力で維持することをさらに含む、請求項7に記載の方法。
  12. 前記内部容積室内の前記処理流体を、約5バールと80バールの間の圧力で維持することをさらに含む、請求項7に記載の方法。
  13. 前記処理流体を前記内部容積室の中に流すことは、前記バッチ処理チャンバの中に乾燥蒸気を流すことを含む、請求項7に記載の方法。
  14. 前記複数の基板を過熱乾燥蒸気に晒すことをさらに含む、請求項13に記載の方法。
  15. 前記処理流体を前記内部容積室の中に流すことは、酸素含有ガス、窒素含有ガス、又は、シリコン含有ガスのうちの少なくとも1つを前記バッチ処理チャンバの中に流すことを含む、請求項7に記載の方法。
JP2020508603A 2017-08-18 2018-07-20 高圧高温下のアニールチャンバ Active JP6947914B2 (ja)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762547742P 2017-08-18 2017-08-18
US62/547,742 2017-08-18
PCT/US2018/043160 WO2019036157A1 (en) 2017-08-18 2018-07-20 HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER

Publications (2)

Publication Number Publication Date
JP2020532106A JP2020532106A (ja) 2020-11-05
JP6947914B2 true JP6947914B2 (ja) 2021-10-13

Family

ID=65360665

Family Applications (1)

Application Number Title Priority Date Filing Date
JP2020508603A Active JP6947914B2 (ja) 2017-08-18 2018-07-20 高圧高温下のアニールチャンバ

Country Status (5)

Country Link
US (3) US10636677B2 (ja)
JP (1) JP6947914B2 (ja)
KR (1) KR102405723B1 (ja)
CN (1) CN111095513B (ja)
WO (1) WO2019036157A1 (ja)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
BR112020009599B1 (pt) * 2017-11-16 2023-12-05 Casale Sa Método e sistema para mensuração de um nível de líquido em um vaso de pressão de uma planta de síntese de uréia
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11600507B2 (en) * 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US20230402304A1 (en) * 2022-05-19 2023-12-14 Applied Materials, Inc. Methods and apparatus for processing a substrate

Family Cites Families (613)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) * 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) * 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
DE69233222T2 (de) 1991-05-28 2004-08-26 Trikon Technologies Ltd., Thornbury Verfahren zum Füllen eines Hohlraumes in einem Substrat
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
TW347570B (en) 1996-12-24 1998-12-11 Toshiba Co Ltd Semiconductor device and method for manufacturing the same
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
TW471068B (en) * 1997-03-05 2002-01-01 Hitachi Ltd Method for fabricating semiconductor integrated circuit device with insulation film
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6202656B1 (en) 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
JPH11354515A (ja) * 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW411526B (en) 1998-09-22 2000-11-11 Taiwan Semiconductor Mfg Method and device for forming gate oxide layers
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
KR100804853B1 (ko) 1999-03-04 2008-02-20 서페이스 테크놀로지 시스템스 피엘씨 삼불화염소가스발생기시스템
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
JP2002541664A (ja) 1999-04-02 2002-12-03 シリコン ヴァレイ グループ サーマル システムズ リミテッド ライアビリティ カンパニー 側壁ライナー酸化成長前にトレンチ充填酸化物を付着させるためのトレンチ分離方法。
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
JP3544329B2 (ja) * 1999-12-14 2004-07-21 東京エレクトロン株式会社 基板処理装置
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
ATE418158T1 (de) 1999-08-17 2009-01-15 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten sio2-filmen zur erhöhung der stabilität während der o2-veraschung
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
JP3543949B2 (ja) * 1999-11-09 2004-07-21 東京エレクトロン株式会社 熱処理装置
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
DE60125338T2 (de) 2000-03-07 2007-07-05 Asm International N.V. Gradierte dünne schichten
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6921712B2 (en) 2000-05-15 2005-07-26 Asm International Nv Process for producing integrated circuits including reduction using gaseous organic compounds
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
EP1160826A3 (en) 2000-05-30 2006-12-13 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) * 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP3554847B2 (ja) * 2001-07-30 2004-08-18 東京エレクトロン株式会社 熱処理装置
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
AU2003275239A1 (en) 2002-09-30 2004-04-23 Miasole Manufacturing apparatus and method for large-scale production of thin-film solar cells
JP2004127958A (ja) * 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
CN1317741C (zh) 2002-11-25 2007-05-23 光洋热系统株式会社 半导体处理装置用电加热器
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
JP2006526125A (ja) 2003-05-13 2006-11-16 アプライド マテリアルズ インコーポレイテッド 処理チャンバの開口を封止するための方法および装置
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
WO2005007283A2 (en) 2003-07-08 2005-01-27 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
JP4443879B2 (ja) * 2003-09-03 2010-03-31 株式会社協真エンジニアリング 高精度高圧アニール装置
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
TW200527491A (en) 2003-12-23 2005-08-16 John C Schumacher Exhaust conditioning system for semiconductor reactor
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
WO2006055984A2 (en) 2004-11-22 2006-05-26 Applied Materials, Inc. Substrate processing apparatus using a batch processing chamber
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
CN101128622B (zh) 2005-02-22 2010-08-25 埃克提斯公司 具有副腔的蚀刻腔
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
JPWO2006098101A1 (ja) 2005-03-16 2008-08-21 日本電気株式会社 金属材料、金属材料を用いた半導体集積回路用配線および被覆膜
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
CN101198903B (zh) 2005-06-10 2011-09-07 奥贝达克特公司 利用中间印模的图案复制
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US7637029B2 (en) * 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
WO2007018016A1 (ja) 2005-08-05 2007-02-15 Hitachi Kokusai Electric Inc. 基板処理装置、冷却ガス供給ノズルおよび半導体装置の製造方法
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
CN101268012B (zh) 2005-10-07 2012-12-26 株式会社尼康 微小构造体及其制造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
JP2009516388A (ja) 2005-11-18 2009-04-16 レプリソールス テクノロジーズ アーベー 多層構造の形成方法
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
TW200733242A (en) 2006-02-10 2007-09-01 Poongsan Microtec Co Ltd Methods and apparatuses for high pressure gas annealing
US20070187386A1 (en) 2006-02-10 2007-08-16 Poongsan Microtec Corporation Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
WO2007133595A2 (en) 2006-05-08 2007-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080246099A1 (en) * 2007-04-09 2008-10-09 Ajith Varghese Low temperature poly oxide processes for high-k/metal gate flow
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
KR101560705B1 (ko) 2007-05-25 2015-10-16 어플라이드 머티어리얼스, 인코포레이티드 전자 디바이스 제조 시스템들을 조립하고 작동시키는 방법들 및 장치
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
CN101835521A (zh) 2007-10-26 2010-09-15 应用材料公司 利用改进燃料线路的用于智能减废的方法与设备
JP5211645B2 (ja) * 2007-11-01 2013-06-12 大日本印刷株式会社 薄膜トランジスタ基板及びその製造方法
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101892467B1 (ko) 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
JP2010056541A (ja) 2008-07-31 2010-03-11 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
WO2010062582A2 (en) 2008-10-27 2010-06-03 Applied Materials, Inc. Vapor deposition method for ternary compounds
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
WO2010091205A2 (en) 2009-02-04 2010-08-12 Applied Materials, Inc. Ground return for plasma processes
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
JP2012518281A (ja) 2009-02-15 2012-08-09 ウッドラフ、ジェイコブ 平衡前駆体から作られる、太陽電池の吸収層
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
WO2010115128A2 (en) 2009-04-03 2010-10-07 Applied Materials, Inc. High pressure rf-dc sputtering and methods to improve film uniformity and step-coverage of this process
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
WO2011001735A1 (ja) 2009-07-01 2011-01-06 三菱電機株式会社 薄膜太陽電池およびその製造方法
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
JP5568913B2 (ja) * 2009-07-24 2014-08-13 株式会社ユーテック Pzt膜の製造方法及び水蒸気加熱装置
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
JP4944228B2 (ja) 2009-09-16 2012-05-30 株式会社日立国際電気 基板処理方法及び基板処理装置
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
CN102598285B (zh) 2009-11-20 2016-08-03 株式会社半导体能源研究所 用于制造半导体器件的方法
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
JP2013517616A (ja) 2010-01-06 2013-05-16 アプライド マテリアルズ インコーポレイテッド 酸化物ライナを使用する流動可能な誘電体
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
KR101775608B1 (ko) 2010-01-21 2017-09-19 파워다인, 인코포레이티드 탄소질 물질로부터의 스팀의 발생 방법
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
JP2013521650A (ja) 2010-03-05 2013-06-10 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvdによる共形層
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8658027B2 (en) * 2010-03-29 2014-02-25 Saudi Arabian Oil Company Integrated hydrotreating and oxidative desulfurization process
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR101877377B1 (ko) 2010-04-23 2018-07-11 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
CN103502853A (zh) 2011-03-25 2014-01-08 李谞荣 光波电路及其制造方法
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US20120252210A1 (en) * 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
JP6042427B2 (ja) 2011-06-28 2016-12-14 ディーエムエス ダイナミック マイクロシステムズ セミコンダクター イクイップメント ゲーエムベーハーDMS Dynamic Micro Systems Semiconductor Equipment GmbH 半導体ストッカシステム及び半導体ストック方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8826657B2 (en) 2011-08-12 2014-09-09 Mcallister Technologies, Llc Systems and methods for providing supplemental aqueous thermal energy
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
JPWO2013065771A1 (ja) 2011-11-01 2015-04-02 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
EP2788161A4 (en) 2011-12-08 2015-07-15 Inmold Biosystems As POLISHING ROUGH SUBSTRATES ASSISTED BY GLASS DEPOSITED BY CENTRIFUGATION
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
CN104106128B (zh) 2012-02-13 2016-11-09 应用材料公司 用于基板的选择性氧化的方法和设备
WO2013129701A1 (ja) 2012-03-02 2013-09-06 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
WO2014011954A1 (en) 2012-07-13 2014-01-16 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
KR101750633B1 (ko) * 2012-07-30 2017-06-23 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치, 반도체 장치의 제조 방법 및 기록 매체
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
KR101680152B1 (ko) 2012-08-24 2016-11-28 고쿠리츠켄큐카이하츠호진 카가쿠기쥬츠신코키코 게르마늄 층 상에 질화 산화 알루미늄 막을 구비하는 반도체 구조 및 그 제조방법
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI826650B (zh) 2012-11-26 2023-12-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
US20150322286A1 (en) 2012-11-27 2015-11-12 The Regents Of The University Of California Polymerized Metal-Organic Material for Printable Photonic Devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
WO2014115600A1 (ja) 2013-01-22 2014-07-31 ピーエスフォー ルクスコ エスエイアールエル 半導体装置の製造方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
SG11201505371UA (en) 2013-02-19 2015-09-29 Applied Materials Inc Hdd patterning using flowable cvd film
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
TWI614102B (zh) 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
US9378994B2 (en) 2013-03-15 2016-06-28 Applied Materials, Inc. Multi-position batch load lock apparatus and systems and methods including same
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US9538586B2 (en) 2013-04-26 2017-01-03 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
WO2014192871A1 (ja) 2013-05-31 2014-12-04 株式会社日立国際電気 基板処理装置、半導体製造装置の製造方法及び炉口蓋体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) * 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
SG10201804322UA (en) 2013-08-21 2018-07-30 Applied Materials Inc Variable frequency microwave (vfm) processes and applications in semiconductor thin film fabrications
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
CN105556651B (zh) * 2013-09-10 2018-09-25 泰拉半导体株式会社 热处理装置以及具备该热处理装置的热处理系统
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
US9685371B2 (en) 2013-09-27 2017-06-20 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
SG10201805220TA (en) 2013-12-22 2018-08-30 Applied Materials Inc Glass ceramic for ultraviolet lithography and method of manufacturing thereof
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9660035B2 (en) 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
CA2943028A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US10113236B2 (en) * 2014-05-14 2018-10-30 Applied Materials, Inc. Batch curing chamber with gas distribution and individual pumping
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
CN106463358B (zh) 2014-06-16 2020-04-24 英特尔公司 金属互连件的接缝愈合
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
US10283344B2 (en) * 2014-07-11 2019-05-07 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
JP6385748B2 (ja) * 2014-07-24 2018-09-05 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
WO2016023121A1 (en) * 2014-08-12 2016-02-18 Noram Engineering And Constructors Ltd. Gas treatment process and apparatus
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
DE112014006932T5 (de) 2014-09-08 2017-06-01 Mitsubishi Electric Corporation Halbleitertempervorrichtung
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
SG11201703195QA (en) 2014-10-24 2017-05-30 Versum Materials Us Llc Compositions and methods using same for deposition of silicon-containing film
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
WO2016111833A1 (en) 2015-01-09 2016-07-14 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
EP3254303B1 (en) 2015-02-06 2018-12-05 Versum Materials US, LLC Method for formation of carbon doped silicon containing films
WO2016130956A1 (en) 2015-02-13 2016-08-18 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
WO2016172003A1 (en) 2015-04-20 2016-10-27 Applied Materials, Inc. Buffer chamber wafer heating mechanism and supporting robot
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
TWI825991B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
WO2016191621A1 (en) 2015-05-27 2016-12-01 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
JP6802191B2 (ja) 2015-06-05 2020-12-16 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated サセプタの位置付け及び回転装置、並びに使用の方法
KR102542758B1 (ko) 2015-06-05 2023-06-12 도쿄엘렉트론가부시키가이샤 상호접속부를 위한 루테늄 금속 피처 충전
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
KR102411946B1 (ko) 2015-07-08 2022-06-22 삼성전자주식회사 초임계 유체를 이용한 기판 처리장치와 이를 포함하는 기판 처리 시스템 및 이를 이용한 기판처리 방법
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6457104B2 (ja) * 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
JP6417052B2 (ja) * 2015-09-30 2018-10-31 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
KR102577628B1 (ko) 2016-01-05 2023-09-13 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 수평 게이트 올 어라운드 디바이스들을 위한 나노와이어들을 제조하기 위한 방법
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
US10516060B2 (en) 2016-03-11 2019-12-24 Semiconductor Energy Laboratory Co., Ltd. Composite and transistor
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
EP3520136A4 (en) 2016-09-30 2020-05-06 Applied Materials, Inc. METHODS OF FORMING SELF-ALIGNED INTERCONNECT HOLES
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
TWI758398B (zh) 2017-01-24 2022-03-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP6737215B2 (ja) * 2017-03-16 2020-08-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
WO2018183287A1 (en) 2017-03-31 2018-10-04 Applied Materials, Inc. Two-step process for gapfilling high aspect ratio trenches with amorphous silicon film
WO2018187546A1 (en) 2017-04-07 2018-10-11 Applied Materials, Inc. Gapfill using reactive anneal
WO2018194807A1 (en) 2017-04-21 2018-10-25 Applied Materials, Inc. Improved electrode assembly
KR20190137935A (ko) 2017-05-01 2019-12-11 어플라이드 머티어리얼스, 인코포레이티드 진공 격리 및 사전-프로세싱 환경을 갖는 고압 어닐링 챔버
WO2018204651A1 (en) 2017-05-03 2018-11-08 Applied Materials, Inc. Integrated substrate temperature measurement on high temperature ceramic heater
JP6918146B2 (ja) 2017-05-19 2021-08-11 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 液体および固体の排出物を収集して後に反応させて気体の排出物にする装置
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
KR102574914B1 (ko) 2017-06-02 2023-09-04 어플라이드 머티어리얼스, 인코포레이티드 보론 카바이드 하드마스크의 건식 스트리핑
CN110637353A (zh) * 2017-06-02 2019-12-31 应用材料公司 在衬底上沉积的膜的质量改进
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10096516B1 (en) * 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
CN111357090B (zh) 2017-11-11 2024-01-05 微材料有限责任公司 用于高压处理腔室的气体输送系统
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
JP7379353B2 (ja) 2018-02-22 2023-11-14 アプライド マテリアルズ インコーポレイテッド より優れた膜品質を可能にするためにマスク基板を処理する方法
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR20230079236A (ko) * 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) * 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR20210055785A (ko) * 2018-10-03 2021-05-17 램 리써치 코포레이션 나노와이어들을 위한 선택적인 에칭
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency
US20220102175A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor substrate boat and methods of using the same

Also Published As

Publication number Publication date
TW201913827A (zh) 2019-04-01
US11469113B2 (en) 2022-10-11
US20200234973A1 (en) 2020-07-23
KR102405723B1 (ko) 2022-06-07
WO2019036157A1 (en) 2019-02-21
US20190057879A1 (en) 2019-02-21
US20200243345A1 (en) 2020-07-30
CN111095513A (zh) 2020-05-01
KR20200032269A (ko) 2020-03-25
CN111095513B (zh) 2023-10-31
JP2020532106A (ja) 2020-11-05
US11462417B2 (en) 2022-10-04
US10636677B2 (en) 2020-04-28

Similar Documents

Publication Publication Date Title
JP6947914B2 (ja) 高圧高温下のアニールチャンバ
TWI787307B (zh) 高壓及高溫退火腔室
CN209312719U (zh) 用于对基板进行退火的系统
TWI700748B (zh) 高壓蒸氣退火處理設備
TWI763858B (zh) 碳化硼硬遮罩的乾式剝除
KR20190137967A (ko) 기판 상에 증착된 막들의 품질 개선
TWI836726B (zh) 高壓及高溫退火腔室
TWI835739B (zh) 高壓高溫退火腔室
TW202410209A (zh) 高壓高溫退火腔室
JP2006097080A (ja) 基板処理装置
KR20230153248A (ko) 가스 공급 시스템, 기판 처리 장치 및 반도체 장치의 제조 방법
KR20150048447A (ko) 기화기 및 원료 공급 장치

Legal Events

Date Code Title Description
A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20200417

A621 Written request for application examination

Free format text: JAPANESE INTERMEDIATE CODE: A621

Effective date: 20200417

A977 Report on retrieval

Free format text: JAPANESE INTERMEDIATE CODE: A971007

Effective date: 20210415

A131 Notification of reasons for refusal

Free format text: JAPANESE INTERMEDIATE CODE: A131

Effective date: 20210420

A521 Request for written amendment filed

Free format text: JAPANESE INTERMEDIATE CODE: A523

Effective date: 20210713

TRDD Decision of grant or rejection written
A01 Written decision to grant a patent or to grant a registration (utility model)

Free format text: JAPANESE INTERMEDIATE CODE: A01

Effective date: 20210824

A61 First payment of annual fees (during grant procedure)

Free format text: JAPANESE INTERMEDIATE CODE: A61

Effective date: 20210916

R150 Certificate of patent or registration of utility model

Ref document number: 6947914

Country of ref document: JP

Free format text: JAPANESE INTERMEDIATE CODE: R150