CN111095513B - 高压高温退火腔室 - Google Patents

高压高温退火腔室 Download PDF

Info

Publication number
CN111095513B
CN111095513B CN201880057402.1A CN201880057402A CN111095513B CN 111095513 B CN111095513 B CN 111095513B CN 201880057402 A CN201880057402 A CN 201880057402A CN 111095513 B CN111095513 B CN 111095513B
Authority
CN
China
Prior art keywords
fluid
processing chamber
conduit
temperature
interior volume
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201880057402.1A
Other languages
English (en)
Other versions
CN111095513A (zh
Inventor
简·德尔马斯
史蒂文·韦尔韦贝克
柯蒂斯·莱施克斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN111095513A publication Critical patent/CN111095513A/zh
Application granted granted Critical
Publication of CN111095513B publication Critical patent/CN111095513B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67023Apparatus for fluid treatment for general liquid treatment, e.g. etching followed by cleaning
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • H01L21/6723Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process comprising at least one plating chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring

Abstract

本公开内容的实施方式涉及用于退火半导体基板的设备和方法。在一个实施方式中,披露一种批量处理腔室。批量处理腔室包括:腔室主体,所述腔室主体包围处理区域;气体面板,所述气体面板配置成提供处理流体至处理区域中;凝结器,所述凝结器流体连接至处理区域;和温度控制的流体回路,所述温度控制的流体回路配置成将处理流体维持在高于处理流体的凝结点的温度。处理区域被配置成在处理期间保持多个基板。凝结器被配置成将处理流体凝结成液态。

Description

高压高温退火腔室
技术领域
本公开内容的实施方式大体涉及集成电路的制造,且具体而言涉及用于退火一个或更多个半导体基板的设备和方法。
背景技术
半导体装置的形成,诸如存储装置、逻辑装置、微处理器等等,涉及在半导体基板之上沉积一个或更多个膜。膜用于建立制造半导体装置所需的电路。退火为热处理工艺,用于在沉积的膜上实现各种作用,以改善其电学特性。举例而言,退火可用于活化掺杂剂、使沉积的膜致密化、或改变生长的膜的状态。
自从数十年前引入以来,半导体装置的几何尺寸已显著减少。增加装置密度导致结构特征具有减小的空间尺寸。举例而言,形成现代半导体装置的结构特征的间隙和沟槽的深宽比(深度对宽度的比例)已窄化至一个程度,使得以材料填充间隙变得极具挑战性。
因此,需要一种用于退火半导体基板的改良的设备和方法,以能够适应与制造现代半导体装置相关联的挑战。
发明内容
本公开内容的实施方式涉及一种用于退火一个或更多个半导体基板的设备和方法。在一个实施方式中,披露一种批量处理腔室(batch processing chamber)。批量处理腔室包括腔室主体,所述腔室主体包围处理区域;气体面板,所述气体面板配置成提供处理流体至处理区域中;凝结器(condenser),所述凝结器流体连接至处理区域;和温度控制的流体回路,所述温度控制的流体回路配置成将处理流体维持在高于处理流体的凝结点的温度。处理区域被配置成在处理期间容纳多个基板。凝结器被配置成将处理流体凝结成液态。
在本公开内容的另一实施方式中,披露一种退火基板的方法。所述方法包括:将基板装载至处理腔室的处理区域中;将处理流体流动通过气体导管至处理区域中;和将气体导管及处理区域中的处理流体维持在高于处理流体的凝结点的温度。
附图说明
通过参照各实施方式(一些实施方式图示于附图中)可以详细地理解本公开内容的上述特征以及以上简要概述的有关本公开内容的更具体的描述。然而,应理解附图仅图示示例性实施方式,且因此不应被视为对本公开内容的范围的限制,而是可以允许其他同等效果的实施方式。
图1为用于退火一个或更多个基板的批量处理腔室的简化的前截面图,。
图1A为批量处理腔室的一部分的局部截面图,图示连接至温度控制的流体回路。
图2为用于退火单一基板的单一基板处理腔室的简化的前截面图。
图3为在批量处理腔室和单一基板腔室中使用的气体面板的简化示意图。
图4为在处理腔室中退火一个或更多个基板的方法的方块图。
为了便于理解,尽可能地使用相同的参考数字来表示各图中共有的相同元件。预期一个实施方式的元件和特征可有益地并入其他实施方式中而无需进一步说明。
具体实施方式
本公开内容的实施方式涉及用于退火一个或更多个半导体基板的设备和方法。基板可在单一腔室内以单一基板或批量的基板而退火。在退火期间,基板在高压高温下暴露于处理流体。当处理一个或更多个基板时,处理流体从气体面板流动通过温度控制的流体回路而至腔室中。处理流体藉由耦接至流体回路的一个或更多个加热器,而维持在高于处理流体的凝结点的温度。流体回路耦接至凝结器,其中在退火完成之后处理流体凝结成液态。在流体回路上的加热器使用通过与流体回路的不同部分接合的温度传感器获得的温度测量的信息而控制。显示于图1中且在此处说明的批量处理腔室100,以及显示于图2中且在此处说明的单一基板处理腔室200,可用于在高温下实行高压退火处理的目的。
图1为批量处理腔室100的简化的前截面图,用于在高温下进行高压退火处理。批量处理腔室100具有主体110,所述主体具有外表面112和内表面113而包围内部容积115。在诸如图1的某些实施方式中,主体110具有环状截面,但在其他实施方式中,主体110的截面可为矩形或任何闭合的形状。主体110的外表面112可以由耐腐蚀钢(CRS)制成,诸如但不限于不锈钢。外表面112可选地以隔热层覆盖,而避免热从批量处理腔室100耗损至外侧环境中。主体110的内表面113可以由镍基钢合金制成或覆盖,而展现高的耐腐蚀性,诸如但不限于和/>可选地,主体110可由镍基钢合金制成。
批量处理腔室100具有门120,门120配置成将内部容积115密封包围在主体110内,使得当门120开启时基板可传送进出内部容积115。利用高压密封件122以在处理期间将门120密封至主体110。高压密封件122可以由高温聚合物制成,诸如但不限于全氟弹性体(perflouroelastomer)。冷却沟道124设置在门120或主体110中,邻近于高压密封件122,以便维持高压密封件122低于高压密封件122的最大安全操作温度。诸如但不限于惰性流体、介电流体和高性能传热流体之类的冷却剂可在冷却沟道124内循环。冷却剂在冷却沟道124内的流动藉由控制器180通过从温度传感器116或流量传感器(未示出)所接收的反馈而控制。
抗对流面板142可放置在门120及盒130之间。抗对流面板142将内部容积115分隔成其中放置盒(cassette)130的热处理区域102和靠近门120的较冷区域104。抗对流面板142通常是以与腔室主体110相同的材料制成的金属板。抗对流面板142可耦接至门120、盒130或其他适合的结构。抗对流面板142可包括面向盒130的面144,而配置成降低从放置盒130的区域至靠近门120的主体110的区域传送的热量。面144可足够大以抑制热处理区域102与较冷区域104之间的对流。面144亦可具有抛光的表面或热反射涂层。抗对流面板142造成腔室主体110结合较冷区域104的部分被遮蔽且维持低于腔室主体110结合热处理区域102的部分的温度。因此,靠近门120且与腔室主体110结合较冷区域104的部分接触的密封件122较不易于因为超过其最大操作温度而产生故障。
批量处理腔室100具有穿过主体110形成的端口117。端口117流体连接至温度控制的流体回路190。流体回路190连接气体面板150、凝结器160和端口117。流体回路190具有气体导管192、源导管157、入口隔离阀155、排气导管163和出口隔离阀165。一个或更多个加热器152、154、158、196、164、166与流体回路190的不同部分接合。一个或更多个温度传感器151、153、119、167和169与流体回路190的不同部分接合,以获得温度测量且将温度测量信息提供至控制器180。
气体导管192在一端通过端口117流体连接至内部容积115。气体导管192具有四个部分,包括腔室导管118、T形导管194、入口导管159和出口导管161。T形导管194具有三个端:第一端连接至入口导管159、第二端连接至出口导管161且第三端连接至腔室导管118。腔室导管118经由端口117流体连接至内部容积115。入口导管159经由入口隔离阀155流体连接至源导管157。出口导管161经由出口隔离阀165流体连接至排气导管163。源导管157流体耦接至气体面板150。排气导管163流体耦接至凝结器160。
腔室导管118与加热器158接合。T形导管194、入口导管159和出口导管161与加热器196接合。源导管157与加热器152接合。入口隔离阀155与加热器154接合。出口隔离阀165与加热器164接合。排气导管163与加热器166接合。加热器152、154、158、196、164和166被配置成将流动通过流体导管190的处理流体维持在高于处理流体的凝结点的温度。举例而言,加热器152、154、158、196、164和166可被配置成将流动通过流体导管190的处理流体维持在一温度下,此温度将处理流体维持为干蒸气或过热蒸气。加热器152、154、158、196、164和166可选地以隔热层覆盖,以避免热耗损至外侧环境中。加热器152、154、158、196、164和166可为灯、电阻加热元件、用于流动传送流体的流体导管或其他适合的加热装置。在一个实施方式中,加热器为缠绕在流体回路的元件周围的电阻条带。加热器152、154、158、196、164和166分别耦接至功率源145。在一个实施方式中,加热器152、154、158、196、164和166的各者可被独立地控制。
温度传感器151与源导管157接合,且被配置成测量源导管157的温度。温度传感器153与入口隔离阀155接合,且被配置成测量入口隔离阀155的温度。温度传感器119与腔室导管118接合,且被配置成测量腔室导管118的温度。温度读取装置156接收且显示来自温度传感器151、153和119的温度测量。温度传感器167与出口隔离阀165接合,且被配置成测量出口隔离阀165的温度。温度传感器169与排气导管163接合,且被配置成测量排气导管163的温度。温度读取装置162接收且显示来自温度传感器167和169的温度测量。温度读取装置156和162将温度测量信息发送至控制器180。传感器151、153、119、167和169可为非接触式传感器,诸如红外线传感器,或为接触式传感器,诸如热电偶。
入口隔离阀155和出口隔离阀165为截止阀。当入口隔离阀155开启时,出口隔离阀165关闭,使得处理流体流动通过源导管157进入气体导管192和内部容积115中,避免处理流体流动至凝结器160中。另一方面,当出口隔离阀165开启时,入口隔离阀155关闭,使得气态产物从内部容积115移除,且流动通过排气导管163且至凝结器160中,避免气态产物流动至气体面板150中。
气体面板150被配置成提供受压的处理流体至源导管157中,用于通过气体导管192传送至内部容积115中。如图3中所示,气体面板150包括处理流体入口310、可选的惰性气体入口320、净化气体入口340和共同出口导管357。处理流体入口310流体连接至流体源(未示出)。流体源可提供加热至气态且用作处理流体的水或其他适合的流体。处理流体入口310藉由导管312、314和隔离阀315流体连接至蒸发器350。隔离阀315具有第一(即,关闭的)状态而避免来自流体源的流体进入蒸发器350。隔离阀315具有第二(即,开启的)状态而允许来自流体源的流体进入蒸发器350。隔离阀315亦配置有或利用质量流量计,以调节流动至蒸发器350中的处理流体的量。蒸发器350被配置成将处理流体转变成气态。在一个示例中,蒸发器350将水转变成蒸气。在一个示例中,蒸发器350将水转变成干蒸气或过热蒸气。
蒸发器350藉由导管352流体连接至共同入口导管354。蒸发器350和共同入口导管354亦藉由导管332流体连接至压力安全阀330。压力安全阀330被配置成释放导管352中的多余压力,且在本领域中通常为已知的。
可选的惰性气体入口320被配置成从压力控制气源(未示出)提供压力控制气体,而用于控制递送通过共同入口导管354的处理流体的压力。藉由气源提供的压力控制气体可为反应气体或惰性气体,诸如但不限于氮气、氩气和类似者,或其他适合的气体。惰性气体入口320藉由隔离阀325和导管322、324流体连接至共同入口导管354。隔离阀325具有第一(即,关闭的)状态而避免来自压力控制气源的流体通过导管324进入共同入口导管354。隔离阀325具有第二(即,开启的)状态而允许来自压力控制气源的流体通过导管324进入共同入口导管354。隔离阀325亦配置有或利用质量流量计,以调节流动至共同入口导管354中的压力控制气体的量。
共同入口导管354藉由阀355和导管356流体连接至共同出口导管357。阀355可被配置为隔离阀,以选择性地将蒸发器350和惰性气体入口320与流体回路190隔离。共同出口导管357流体连接至源导管157,此源导管157将气体面板150耦接至入口隔离阀155。在另一示例中,阀355可被配置为流体控制阀,以选择性地控制蒸发器350和惰性气体入口320从流体回路190流动至腔室主体110的内部容积155中的处理流体的量。流体控制阀的示例包括针阀、节流阀及调变阀等等。
净化气体入口340亦通过共同出口导管357耦接至源导管157。净化气体入口340耦接至净化气体的源(未示出)。净化气体可为惰性气体,诸如但不限于氮气、空气、氩气和类似者。当需要时,净化气体可用于从共同出口导管357和流体回路190移除处理流体的残留物。净化气体入口340藉由隔离阀345流体连接至共同出口导管357。净化气体入口340藉由导管342流体连接至隔离阀345。隔离阀345被配置成选择性地将净化气体入口340与共同出口导管357隔离。隔离阀345藉由导管344流体连接至共同出口导管357。
在某些实施方式中,隔离阀315、325、345和355为截止阀。隔离阀315、325、345和355的操作藉由控制器180来控制。引入内部容积115的处理流体的压力藉由耦接至主体110的压力传感器114监控。因为流体回路190连续耦接至内部容积115,所以压力传感器114亦可用于确定流体回路190内的压力。在流体回路190和内部容积115具有设置于其间的隔离阀,或者经配置使得预期具有显著变化的压力的实施方式中,流体回路190和内部容积115的各者可配备有单独的压力传感器114。
凝结器160流体耦接至冷却流体源(未示出),且配置成将通过气体导管192离开内部容积115的气态处理流体凝结。在凝结器160中的相态变化从内部容积115和流体回路190拉引处理流体,而最小化净化气体的需求。可选地,离开凝结器160的凝结的处理流体可经由隔离阀175传输通过热交换器170。热交换器170被配置成进一步冷却凝结的处理流体,使得能够更容易管理处理流体。凝结器160藉由凝结器导管168流体连接至隔离阀175。热交换器170藉由热交换器导管172耦接至隔离阀175。泵176藉由泵导管174流体连接至热交换器170,且将液化的处理流体从热交换器170泵送至容器,以用于回收、重新使用或丢弃。
一个或更多个加热器140设置于主体110上,且配置成加热批量处理腔室100的主体110。在某些实施方式中,加热器140如图1中所示设置于主体110的外表面112上。加热器140的各者可为电阻线圈、灯、陶瓷加热器、石墨基碳纤维复合物(carbon fibercomposite,CFC)加热器、不锈钢加热器或铝加热器。加热器140藉由功率源145供电。至加热器140的功率藉由控制器180而通过从温度传感器116接收的反馈来控制。温度传感器116耦接至主体110且监控主体110的温度。在一个示例中,加热器140将主体110维持在高于设置于内部容积155中的处理流体的凝结点的温度。
一个或更多个加热器146设置于主体110中,且配置成加热在批量处理腔室100的内部容积115中同时设置于盒130中的基板135。加热器146的各者可为电阻线圈、灯、陶瓷加热器、石墨基碳纤维复合物(CFC)加热器、不锈钢加热器或铝加热器。在图1所描绘的实施方式中,加热器146为电阻加热器。加热器146藉由功率源145供电。至加热器146的功率藉由控制器180而通过从温度传感器(未示出)接收的反馈来控制。温度传感器可设置在主体110中且监控内部容积115的温度。在一个示例中,加热器146可操作以在批量处理腔室100的内部容积115的热处理区域102中将设置于盒130中的基板135维持在高于300摄氏度的温度,诸如介于300摄氏度与约450摄氏度之间,或甚至诸如介于300摄氏度与约500摄氏度之间。
因为加热器146将内部容积155的热处理区域102大致维持在显著高于流体回路190的温度,所以离开流体回路190至热处理区域102中的干蒸气变得过热。过热的干蒸气有利地将不会在热处理区域102内凝结,则避免流体在处理腔室100内的经处理的基板135上凝结。
耦接至致动器(未示出)的盒130移动进出内部容积115。盒130具有顶表面132、底表面134和壁136。盒130的壁136具有多个基板存储槽138。各个基板存储槽138沿着盒130的壁136均匀地间隔开来。各个基板存储槽138被配置成将基板135保持在其中。盒130可具有多达五十个用于保持基板135的基板存储槽138。盒130对传输多个基板135进出批量处理腔室100以及对在内部容积115中处理多个基板135两者提供有效率的工具(vehicle)。
控制器180包括中央处理单元(CPU)182、存储器184和支持电路186。CPU 182可为在工业设定中可使用的任何形式的通用计算机处理器。存储器184可为随机存取存储器、只读存储器、软盘或硬盘驱动,或其他形式的数字存储。支持电路186通常耦接至CPU 182,并且可包括高速缓冲存储器(cache)、时钟电路、输入/输出系统、电源和类似者。
控制器180控制批量处理腔室100的各种部件的操作。控制器180控制气体面板150、凝结器160、泵176、入口隔离阀155、出口隔离阀165和功率源145的操作。控制器180亦通信地连接至温度传感器116、压力传感器114、冷却沟道124和温度读取装置156和162。控制器180接收选择用于处理基板的处理流体的类型作为输入。一旦藉由控制器180接收处理流体的类型之后,控制器180确定将处理流体维持在气态的目标压力和温度范围。控制器180使用来自温度传感器116、151、153、119、167、169和压力传感器114的信息,以控制加热器140、152、154、158、196、164和166的操作以及提供于内部容积115和流体回路190内的压力。藉由加热器供应的控制的热量和藉由压力控制气体提供的压力用于将设置在流体回路190和内部容积115中的处理流体维持在大于所施加压力和温度下的处理流体的凝结点的温度。控制器180使用来自压力传感器114的信息以在气体面板150中控制隔离阀315、325、345和355的操作,以优选地供应处理流体至流体回路190中,且将处理流体维持在低于所供应温度下处理流体的凝结压力的压力。内部容积115以及流体回路190的温度和压力因此得以维持,使得处理流体保持在气态。
预期处理流体根据用于在批量处理腔室100中所需的退火基板的处理需求而选择。处理流体可包括含氧和/或含氮气体,诸如氧气、蒸气、水、过氧化氢和/或氨气。对含氧和/或含氮气体的替代或额外地,处理流体可包括含硅气体,诸如但不限于有机硅、原硅酸四烷基酯(tetraalkyl orthosilicate)气体和二硅氧烷气体。在一些实施方式中,处理流体可为蒸气或干蒸气,在介于约5巴(bar)与约80巴之间的压力下,且温度可维持在介于约150摄氏度与约250摄氏度之间,或甚至高达500摄氏度。这确保了干蒸气不会在内部容积115和流体回路190中凝结成水,且额外地允许干蒸气在热处理区域102内变成过热干蒸气,其中基板135暴露于过热干蒸气用于处理。
图1A为另一批量处理腔室106的一部分的局部截面图,示出了对温度控制的流体回路190A的连接。批量处理腔室106实质上与上述的批量处理腔室106相同,不同之处在于:取代图1中所示的将温度控制的流体回路190耦接至凝结器160和气体面板150两者的单一端口117,图1A的批量处理腔室106包括将内部容积115耦接至温度控制的流体回路190A的气体面板150的第一端口117A、和将内部容积115耦接至温度控制的流体回路190A的凝结器160的第二端口117B
温度控制的流体回路190A实质上与温度控制的流体回路190相同,其中下标A和B标示耦接至气体面板侧(A)和凝结器侧(B)的元件。不同于温度控制的流体回路190,其中将温度控制的流体回路190内的凝结器160和气体面板150通过共同腔室导管118流体耦接至腔室主体110的内部容积115,而温度控制的流体回路190A流体地隔离凝结器160和气体面板150,且通过分开的专用端口117A,B,将凝结器160和气体面板150通过分开的腔室导管118A,B分开地耦接至腔室主体110的内部容积115。
图2为用于在高温下高压退火处理单一基板的单一基板处理腔室200的简化的前截面图。单一基板处理腔室200具有主体210,主体210具有包围内部容积215的外表面212和内表面213。在一些实施方式中,例如在图2中,主体210具有环状截面,而在其他实施方式中,主体210的截面可为矩形或任何闭合的形状。主体210的外表面212可以由耐腐蚀钢(CRS)制成,诸如但不限于不锈钢。一个或更多个热屏蔽件225设置于主体210的内表面213上,而避免热从单一基板处理腔室200耗损至外侧环境中。主体210的内表面以及热屏蔽件225可以由表现出高的耐腐蚀性的镍基钢合金制成,诸如但不限于和/>
基板支撑件230设置在内部容积215内。基板支撑件230具有支架(stem)234和藉由支架234保持的基板支撑构件232。支架234穿过通过腔室主体210所形成的通道222。连接至致动器238的杆件(rod)239穿过通过腔室主体210所形成的第二通道223。杆件239耦接至板235,板235具有容纳基板支撑件230的支架234的孔236。升降销237连接至基板支撑构件232。致动器238致动杆件239,使得板235向上或向下移动以连接和脱离升降销237。随着升降销237的上升或下降,基板支撑构件232在腔室200的内部容积215内上升或下降。基板支撑构件232具有安装于其中心内的电阻加热元件231。功率源233被配置成对电阻加热元件231供电。功率源233以及致动器238的操作藉由控制器280控制。
单一基板处理腔室200在主体210上具有开口211,一个或更多个基板220可通过此开口211装载及卸载进出设置于内部容积215中的基板支撑件230。开口211在主体210上形成隧道(tunnel)221。狭缝阀228被配置成密封地关闭隧道221,使得仅当狭缝阀228开启时可进入开口211和内部容积215。高压密封件227用于将狭缝阀228密封至主体210,以便密封内部容积215用于处理。高压密封件227可以由聚合物制成,例如含氟聚合物,诸如但不限于全氟弹性体和聚四氟乙烯(PTFE)。高压密封件227可进一步包括弹簧构件,用于偏置密封件以改善密封性能。冷却沟道224设置在隧道221上邻近于高压密封件227,以便在处理期间维持高压密封件227低于高压密封件227的最大安全操作温度。来自冷却流体源226的冷却剂,诸如但不限于惰性气体、介电流体和高性能传热流体,可在冷却沟道224内循环。来自冷却流体源226的冷却剂的流动藉由控制器280通过从温度传感器216或流量传感器(未示出)所接收的反馈来控制。环状热扼流圈(thermal choke)229形成于隧道221四周,以避免当狭缝阀228开启时,来自内部容积215的热流动通过开口211。
单一基板处理腔室200具有通过主体210的端口217,端口217流体连接至流体回路290,流体回路290连接气体面板250、凝结器260和端口217。流体回路290具有与流体回路190实质上类似的部件,且以与流体回路190实质上类似的方式起作用。流体回路290具有气体导管292、源导管257、入口隔离阀255、排气导管263和出口隔离阀265。数个加热器296、258、252、254、264、266与流体回路290的不同部分接合。数个温度传感器251、253、219、267和269亦放置在流体回路290的不同部分处,以进行温度测量且将信息发送至控制器280。控制器280使用温度测量信息以控制加热器252、254、258、296、264和266的操作,使得流体回路290的温度维持在高于设置在流体回路290和内部容积215中的处理流体的凝结点的温度。
气体面板250和压力传感器214在本质及功能上与气体面板150和压力传感器114实质上类似。凝结器260在本质及功能上与凝结器160实质上类似。泵270在本质及功能上与泵176实质上类似。一个或更多个加热器240设置于主体210上,且被配置成在单一基板处理腔室200内加热内部容积215。加热器240亦在本质及功能上与批量处理腔室100中所使用的加热器140实质上类似。
控制器280控制单一基板处理腔室200的操作。控制器280控制气体面板250、凝结器260、泵270、入口隔离阀255、出口隔离阀265、功率源233及245的操作。控制器280亦通信地连接至温度传感器216、压力传感器214、致动器238、冷却流体源226及温度读取装置256及262。控制器280在本质及功能上与批量处理腔室100中所使用的控制器180实质上类似。
批量处理腔室100提供便利的处理腔室以在高压下使用处理流体于高温下实行一个或更多个基板的退火的方法。加热器140被供电以加热处理腔室100且将内部容积115维持在高于处理流体的凝结点的温度。同时,加热器152、154、158、196、164及166被供电以加热流体回路190。
多个基板135装载在待放置于批量处理腔室100中的盒130上。开启批量处理腔室100的门120且将盒130移动至内部容积115中。接着关闭门120以将基板135密封在处理腔室100内。一旦门120被关闭之后,密封件122确保从内部容积115不会有渗漏。
藉由气体面板150提供处理流体至处理腔室100内侧所界定的内部容积115中。开启入口隔离阀155以允许处理流体流动通过源导管157和气体导管192至内部容积115中。此时出口隔离阀165保持关闭。对处理流体所施加的压力可逐渐的增加。当足够量的处理流体存在于内部容积115中时,关闭入口隔离阀155。或者,在处理基板135的同时,可连续流动处理流体通过内部容积115。
在处理期间,内部容积115以及流体回路190维持在一温度及压力下,使得处理流体维持在气态。内部容积115以及流体回路190的温度维持在大于处理流体所施加的压力下的凝结点的温度。内部容积115以及流体回路190维持在小于处理流体所施加的温度下的凝结压力的压力。
当基板135在处理条件下通过暴露于处理流体而已达到期望效果时,处理完成。接着开启出口隔离阀165以从内部容积115流动处理流体通过气体导管192及排气导管163至凝结器160中。处理流体在凝结器160中凝结成液态。可选的热交换器170可进一步冷却液态处理流体以便于流体的处置。凝结的处理流体接着藉由泵176移除。当凝结的处理流体被移除时,关闭出口隔离阀165。当出口隔离阀165对凝结器160开启的同时,加热器140、152、154、158、196、164及166将流体回路内的处理流体维持在气态,以避免流体回路内的凝结。接着开启批量处理腔室100的门120,以从内部容积115移除基板135。
单一基板处理腔室200与批量处理腔室100以实质上相同的方式操作。单一基板处理腔室200用于退火放置于基板支撑件230上的单一基板220。开启狭缝阀228以通过隧道221将基板220装载至内部容积215中的基板支撑件230。当将处理流体传送至内部容积215的同时,加热器252、254、258、296、264及266将流体回路内的处理流体维持在气态。
图4是根据本公开内容的一个实施方式,在处理腔室中退火一个或更多个基板的方法400的方块图。方法400在方块410处开始,将一个或更多个基板装载至处理腔室的处理区域中。举例而言,可将单一基板装载在单一基板处理腔室中设置的基板支撑件上。或者,多个基板可被装载在放置于批量处理腔室中的盒上。
于方块420处,处理流体流动通过气体导管至单一基板处理腔室或批量处理腔室内的处理区域中。在一些实施方式中,处理流体可为在高压下的处理流体。在退火处理期间,单一基板或多个基板暴露于高温下的处理流体。在完成处理之后,通过气体导管从处理区域移除处理流体,且藉由凝结器凝结成液态。凝结的处理流体随后藉由泵移除。
在方块430处,气体导管中的处理流体维持在高于处理流体的凝结点的温度。气体导管耦接至一个或更多个加热器,所述一个或更多个加热器配置成将流动通过气体导管的处理流体维持在高于处理流体的凝结点的温度,使得处理流体保持在气态。在一些实施方式中,加热器可包括藉由功率源供电的电阻加热元件。气体导管具有一个或更多个温度传感器,所述温度传感器可操作以测量气体导管的温度。将来自气体导管的温度测量发送至控制器,此控制器使用信息以控制气体导管上加热器的操作。
经选择以用于处理基板的处理流体的类型被输入至控制器的用户界面中或经由另一通道提供至控制器。控制器使用来自温度及压力传感器的信息,以控制与流体回路及腔室主体的不同部分接合的加热器的操作,且将流体回路及处理区域中存在的处理流体维持在大于对所感测压力的处理流体的凝结点的温度。控制器亦使用来自耦接至腔室主体的温度及压力传感器的信息,以控制处理流体及来自气体面板的压力控制气体流动至流体回路中,且将处理流体维持在小于对所感测温度的处理流体的凝结压力的压力。处理区域以及流体回路的温度及压力因此得以维持,使得处理流体保持在气态。在一个示例中,压力维持在约5巴与约35巴之间,同时温度维持在约150摄氏度与约250摄氏度之间,使得处理流体主要为蒸气的形式而保持在气态。
在处理腔室100、200中使用的流体回路190、290提供以下优点:将处理流体的温度控制且维持在高于流动通过流体回路190、290至高压退火腔室中的处理流体的凝结点的温度。耦接至流体回路190、290的不同部分的数个加热器和温度传感器帮助控制器180、280控制且维持供应至处理腔室100、200中的流体回路190、290和内部容积115、215的热。结果,避免处理流体的凝结且将处理流体维持在气态。
批量处理腔室100允许多个基板在相同条件下同时以批量的方式退火,因此降低处理各个基板的成本。另一方面,单一基板处理腔室200允许更有效率的基板处理,因此对待退火的各个基板提供优越的基板温度控制。此外,单一基板处理腔室200可立即与真空群集处理工具集成,因此提供有效率的基板处理及装置集成所需的处理腔室的集成。
尽管以上涉及本公开内容的具体实施方式,应理解这些实施方式仅为原理的说明和本发明的应用。因此应理解可在不背离由所附权利要求限定的本发明的精神和范围的情况下,对图示实施方式进行各种修改以实现其他实施方式。

Claims (20)

1.一种用于在高压高温下退火基板的批量处理腔室,包括:
腔室主体,所述腔室主体包围内部容积,所述内部容积配置成容纳设置于其中的多个基板;
气体面板,所述气体面板配置成提供处理流体至所述内部容积中;
凝结器,所述凝结器流体连接至所述内部容积,所述凝结器配置成将所述处理流体凝结成液态;和
温度控制的流体回路,所述温度控制的流体回路配置成将所述处理流体维持在高于所述处理流体的凝结点的温度,所述温度控制的流体回路包括:
气体导管,所述气体导管在第一端流体耦接至所述腔室主体上的端口、在第二端流体耦接至所述气体面板且在第三端流体耦接至所述凝结器。
2.如权利要求1所述的批量处理腔室,其中所述温度控制的流体回路进一步包括:
源导管,所述源导管在第一端流体耦接至所述气体面板,且在第二端藉由入口隔离阀流体耦接至所述气体导管。
3.如权利要求1所述的批量处理腔室,所述腔室主体进一步包括:
一个或更多个温度传感器,所述一个或更多个温度传感器可操作以测量所述气体导管的温度。
4.如权利要求1所述的批量处理腔室,进一步包括:
冷却沟道,所述冷却沟道设置成邻近于门。
5.如权利要求1所述的批量处理腔室,其中所述腔室主体由镍基超合金制成。
6.如权利要求1所述的批量处理腔室,进一步包括:
抗对流板,所述抗对流板设置在所述腔室主体中。
7.如权利要求2所述的批量处理腔室,其中所述温度控制的流体回路进一步包括:
排气导管,所述排气导管在第一端流体耦接至所述凝结器,且在第二端藉由出口隔离阀流体耦接至所述气体导管。
8.如权利要求7所述的批量处理腔室,其中所述温度控制的流体回路进一步包括:
一个或更多个加热器,所述一个或更多个加热器耦接至所述源导管、所述排气导管和所述气体导管的各者,所述一个或更多个加热器配置成将流动通过所述源导管、所述排气导管和所述气体导管的所述处理流体维持在高于流动通过所述温度控制的流体回路的所述处理流体的凝结点的温度。
9.如权利要求4所述的批量处理腔室,其中所述门被配置成密封地关闭所述腔室主体。
10.如权利要求6所述的批量处理腔室,其中所述抗对流板将所述内部容积分隔成热处理区域和较冷处理区域,所述基板在所述热处理区域中被处理,且所述较冷处理区域靠近腔室主体门。
11.一种在根据权利要求1至10中任一项所述的批量处理腔室中退火基板的方法,所述方法包括以下步骤:
将多个基板装载至所述批量处理腔室的所述内部容积中;
将处理流体流动通过所述气体导管至所述内部容积中;和
将所述气体导管和所述内部容积中的所述处理流体维持在高于所述处理流体的凝结点的温度。
12.如权利要求11所述的方法,进一步包括以下步骤:
将所述内部容积中的所述处理流体维持在高于所述气体导管中的所述处理流体的温度。
13.如权利要求11所述的方法,进一步包括以下步骤:
将所述气体导管中的所述处理流体维持在高于150摄氏度的温度。
14.如权利要求11所述的方法,进一步包括以下步骤:
将所述基板维持在介于350摄氏度与500摄氏度之间的温度。
15.如权利要求11所述的方法,进一步包括以下步骤:
将所述内部容积中的所述处理流体维持在高于5巴的压力。
16.如权利要求11所述的方法,进一步包括以下步骤:
将所述内部容积中的所述处理流体维持在介于5巴与80巴之间的压力。
17.如权利要求11所述的方法,其中将所述处理流体流动至所述内部容积中的步骤包括以下步骤:
将干蒸气流动至所述批量处理腔室中。
18.如权利要求17所述的方法,进一步包括以下步骤:
将所述多个基板暴露于过热干蒸气。
19.如权利要求11所述的方法,其中将所述处理流体流动至所述内部容积中的步骤包括以下步骤:
将以下至少一者流动至所述批量处理腔室中:含氧气体、含氮气体、或含硅气体。
20.如权利要求11所述的方法,进一步包括以下步骤:
使所述处理流体从所述内部容积流动通过所述气体导管。
CN201880057402.1A 2017-08-18 2018-07-20 高压高温退火腔室 Active CN111095513B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US201762547742P 2017-08-18 2017-08-18
US62/547,742 2017-08-18
PCT/US2018/043160 WO2019036157A1 (en) 2017-08-18 2018-07-20 HIGH PRESSURE AND HIGH TEMPERATURE RECOVERY CHAMBER

Publications (2)

Publication Number Publication Date
CN111095513A CN111095513A (zh) 2020-05-01
CN111095513B true CN111095513B (zh) 2023-10-31

Family

ID=65360665

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201880057402.1A Active CN111095513B (zh) 2017-08-18 2018-07-20 高压高温退火腔室

Country Status (5)

Country Link
US (3) US10636677B2 (zh)
JP (1) JP6947914B2 (zh)
KR (1) KR102405723B1 (zh)
CN (1) CN111095513B (zh)
WO (1) WO2019036157A1 (zh)

Families Citing this family (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
JP7274461B2 (ja) 2017-09-12 2023-05-16 アプライド マテリアルズ インコーポレイテッド 保護バリア層を使用して半導体構造を製造する装置および方法
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
CN111344541B (zh) * 2017-11-16 2022-04-22 卡萨勒有限公司 用于测量尿素合成设备的压力容器中液位的方法和系统
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
KR102536820B1 (ko) 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11600507B2 (en) * 2020-09-09 2023-03-07 Applied Materials, Inc. Pedestal assembly for a substrate processing chamber
US20230402304A1 (en) * 2022-05-19 2023-12-14 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11354515A (ja) * 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
JP2004127958A (ja) * 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
JP2005079528A (ja) * 2003-09-03 2005-03-24 Kyoshin Engineering:Kk 高精度高圧アニール装置
CN101385131A (zh) * 2006-02-10 2009-03-11 株式会社豊山Microtec 用于高压气体退火的方法及设备
JP2011029394A (ja) * 2009-07-24 2011-02-10 Utec:Kk Pzt膜、電子部品、酸化物材料膜の製造方法及び水蒸気加圧急速加熱装置
CN104520975A (zh) * 2012-07-30 2015-04-15 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及记录介质
WO2016007874A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films
CN105556651A (zh) * 2013-09-10 2016-05-04 泰拉半导体株式会社 热处理装置以及具备该热处理装置的热处理系统
CN106463362A (zh) * 2014-05-14 2017-02-22 应用材料公司 具有气体分布及单独泵送的批量固化腔室

Family Cites Families (604)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4524587A (en) 1967-01-10 1985-06-25 Kantor Frederick W Rotary thermodynamic apparatus and method
US3684592A (en) 1969-09-30 1972-08-15 Westinghouse Electric Corp Passivated surfaces and protective coatings for semiconductor devices and processes for producing the same
US3758316A (en) 1971-03-30 1973-09-11 Du Pont Refractory materials and process for making same
US3749383A (en) 1971-04-29 1973-07-31 Rca Corp Apparatus for processing semiconductor devices
US4409260A (en) 1979-08-15 1983-10-11 Hughes Aircraft Company Process for low-temperature surface layer oxidation of a semiconductor substrate
US4424101A (en) 1980-11-06 1984-01-03 The Perkin-Elmer Corp. Method of depositing doped refractory metal silicides using DC magnetron/RF diode mode co-sputtering techniques
US4589193A (en) 1984-06-29 1986-05-20 International Business Machines Corporation Metal silicide channel stoppers for integrated circuits and method for making the same
US4576652A (en) 1984-07-12 1986-03-18 International Business Machines Corporation Incoherent light annealing of gallium arsenide substrate
JPS634616A (ja) 1986-06-25 1988-01-09 Hitachi Tokyo Electron Co Ltd 蒸気処理装置
JPS6367721A (ja) 1986-09-09 1988-03-26 Meidensha Electric Mfg Co Ltd アモルフアス炭素半導体膜の製造方法
JPH0748489B2 (ja) 1987-07-27 1995-05-24 富士通株式会社 プラズマ処理装置
US4879259A (en) * 1987-09-28 1989-11-07 The Board Of Trustees Of The Leland Stanford Junion University Rapid thermal annealing of gallium arsenide with trimethyl arsenic overpressure
CA1308496C (en) 1988-02-18 1992-10-06 Rajiv V. Joshi Deposition of tungsten on silicon in a non-self-limiting cvd process
US5114513A (en) 1988-10-27 1992-05-19 Omron Tateisi Electronics Co. Optical device and manufacturing method thereof
US5167717A (en) 1989-02-15 1992-12-01 Charles Boitnott Apparatus and method for processing a semiconductor wafer
JP2730695B2 (ja) 1989-04-10 1998-03-25 忠弘 大見 タングステン膜の成膜装置
US5126117A (en) 1990-05-22 1992-06-30 Custom Engineered Materials, Inc. Device for preventing accidental releases of hazardous gases
US5175123A (en) 1990-11-13 1992-12-29 Motorola, Inc. High-pressure polysilicon encapsulated localized oxidation of silicon
US5050540A (en) 1991-01-29 1991-09-24 Arne Lindberg Method of gas blanketing a boiler
JP2996524B2 (ja) * 1991-03-18 2000-01-11 松下電子工業株式会社 ポリイミド硬化装置
ATE251342T1 (de) 1991-05-28 2003-10-15 Trikon Technologies Ltd Verfahren zum füllen eines hohraumes in einem substrat
US5314541A (en) 1991-05-28 1994-05-24 Tokyo Electron Limited Reduced pressure processing system and reduced pressure processing method
US6238588B1 (en) 1991-06-27 2001-05-29 Applied Materials, Inc. High pressure high non-reactive diluent gas content high plasma ion density plasma oxide etch process
JPH0521310A (ja) 1991-07-11 1993-01-29 Canon Inc 微細パタン形成方法
JPH0521347A (ja) 1991-07-11 1993-01-29 Canon Inc スパツタリング装置
JPH05129296A (ja) 1991-11-05 1993-05-25 Fujitsu Ltd 導電膜の平坦化方法
US5300320A (en) 1992-06-23 1994-04-05 President And Fellows Of Harvard College Chemical vapor deposition from single organometallic precursors
US5319212A (en) 1992-10-07 1994-06-07 Genus, Inc. Method of monitoring ion beam current in ion implantation apparatus for use in manufacturing semiconductors
JPH06283496A (ja) 1993-03-26 1994-10-07 Dainippon Screen Mfg Co Ltd 洗浄処理後の基板の乾燥処理装置
US5607002A (en) 1993-04-28 1997-03-04 Advanced Delivery & Chemical Systems, Inc. Chemical refill system for high purity chemicals
US5578132A (en) 1993-07-07 1996-11-26 Tokyo Electron Kabushiki Kaisha Apparatus for heat treating semiconductors at normal pressure and low pressure
JPH0766424A (ja) 1993-08-20 1995-03-10 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JPH07158767A (ja) 1993-12-09 1995-06-20 Kokusai Electric Co Ltd ゲートバルブ
US5460689A (en) 1994-02-28 1995-10-24 Applied Materials, Inc. High pressure plasma treatment method and apparatus
US5880041A (en) 1994-05-27 1999-03-09 Motorola Inc. Method for forming a dielectric layer using high pressure
US5597439A (en) 1994-10-26 1997-01-28 Applied Materials, Inc. Process gas inlet and distribution passages
US5808245A (en) 1995-01-03 1998-09-15 Donaldson Company, Inc. Vertical mount catalytic converter muffler
JPH08195493A (ja) 1995-01-13 1996-07-30 Toshiba Corp 薄膜トランジスタの製造方法
US5620524A (en) 1995-02-27 1997-04-15 Fan; Chiko Apparatus for fluid delivery in chemical vapor deposition systems
US5858051A (en) 1995-05-08 1999-01-12 Toshiba Machine Co., Ltd. Method of manufacturing optical waveguide
JP2872637B2 (ja) 1995-07-10 1999-03-17 アプライド マテリアルズ インコーポレイテッド マイクロ波プラズマベースアプリケータ
JP3684624B2 (ja) 1995-08-02 2005-08-17 ソニー株式会社 反応ガス供給装置
US5747383A (en) 1995-09-05 1998-05-05 Taiwan Semiconductor Manufacturing Company Ltd Method for forming conductive lines and stacked vias
US5857368A (en) 1995-10-06 1999-01-12 Applied Materials, Inc. Apparatus and method for fabricating metal paths in semiconductor substrates through high pressure extrusion
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
JPH09296267A (ja) 1995-11-21 1997-11-18 Applied Materials Inc 高圧押出しによる、半導体基板における金属パスの製造装置および方法
US5677230A (en) 1995-12-01 1997-10-14 Motorola Method of making wide bandgap semiconductor devices
US6077571A (en) 1995-12-19 2000-06-20 The Research Foundation Of State University Of New York Conformal pure and doped aluminum coatings and a methodology and apparatus for their preparation
US5895274A (en) 1996-01-22 1999-04-20 Micron Technology, Inc. High-pressure anneal process for integrated circuits
US5918149A (en) 1996-02-16 1999-06-29 Advanced Micro Devices, Inc. Deposition of a conductor in a via hole or trench
KR980012044A (ko) 1996-03-01 1998-04-30 히가시 데츠로 기판건조장치 및 기판건조방법
US5998305A (en) 1996-03-29 1999-12-07 Praxair Technology, Inc. Removal of carbon from substrate surfaces
US5738915A (en) 1996-09-19 1998-04-14 Lambda Technologies, Inc. Curing polymer layers on semiconductor substrates using variable frequency microwave energy
US6444037B1 (en) 1996-11-13 2002-09-03 Applied Materials, Inc. Chamber liner for high temperature processing chamber
US6082950A (en) 1996-11-18 2000-07-04 Applied Materials, Inc. Front end wafer staging with wafer cassette turntables and on-the-fly wafer center finding
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US6071810A (en) 1996-12-24 2000-06-06 Kabushiki Kaisha Toshiba Method of filling contact holes and wiring grooves of a semiconductor device
US5888888A (en) 1997-01-29 1999-03-30 Ultratech Stepper, Inc. Method for forming a silicide region on a silicon body
TWI278932B (en) * 1997-03-05 2007-04-11 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
JP2980052B2 (ja) 1997-03-31 1999-11-22 日本電気株式会社 半導体装置の製造方法
US6334249B2 (en) 1997-04-22 2002-01-01 Texas Instruments Incorporated Cavity-filling method for reducing surface topography and roughness
KR100560049B1 (ko) 1997-05-10 2006-05-25 가부시키가이샤 한도오따이 에네루기 켄큐쇼 성막방법
JP2976931B2 (ja) 1997-06-04 1999-11-10 日本電気株式会社 半導体装置の製造方法
US6309713B1 (en) 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6136664A (en) 1997-08-07 2000-10-24 International Business Machines Corporation Filling of high aspect ratio trench isolation
US20030049372A1 (en) 1997-08-11 2003-03-13 Cook Robert C. High rate deposition at low pressures in a small batch reactor
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US5963817A (en) 1997-10-16 1999-10-05 International Business Machines Corporation Bulk and strained silicon on insulator using local selective oxidation
JP3199006B2 (ja) 1997-11-18 2001-08-13 日本電気株式会社 層間絶縁膜の形成方法および絶縁膜形成装置
US6442980B2 (en) 1997-11-26 2002-09-03 Chart Inc. Carbon dioxide dry cleaning system
US6140235A (en) 1997-12-05 2000-10-31 Applied Materials, Inc. High pressure copper fill at low temperature
KR100275727B1 (ko) 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
JP3296281B2 (ja) 1998-01-22 2002-06-24 日本電気株式会社 スパッタリング装置及びスパッタリング方法
US6846739B1 (en) 1998-02-27 2005-01-25 Micron Technology, Inc. MOCVD process using ozone as a reactant to deposit a metal oxide barrier layer
US6202656B1 (en) 1998-03-03 2001-03-20 Applied Materials, Inc. Uniform heat trace and secondary containment for delivery lines for processing system
US6164412A (en) 1998-04-03 2000-12-26 Arvin Industries, Inc. Muffler
JP3955386B2 (ja) 1998-04-09 2007-08-08 富士通株式会社 半導体装置及びその製造方法
US6103585A (en) 1998-06-09 2000-08-15 Siemens Aktiengesellschaft Method of forming deep trench capacitors
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
TW411526B (en) 1998-09-22 2000-11-11 Taiwan Semiconductor Mfg Method and device for forming gate oxide layers
US6719516B2 (en) 1998-09-28 2004-04-13 Applied Materials, Inc. Single wafer load lock with internal wafer transport
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US20030101938A1 (en) * 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
JP2000221799A (ja) 1999-01-29 2000-08-11 Canon Inc 画像形成装置
US6929784B1 (en) 1999-03-04 2005-08-16 Surface Technology Systems Plc Chlorotrifuorine gas generator system
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4096440B2 (ja) 1999-03-11 2008-06-04 三菱瓦斯化学株式会社 多層成形品
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
WO2000060659A1 (en) 1999-04-02 2000-10-12 Silicon Valley Group, Thermal Systems Llc Improved trench isolation process to deposit a trench fill oxide prior to sidewall liner oxidation growth
JP3892621B2 (ja) 1999-04-19 2007-03-14 株式会社神戸製鋼所 配線膜の形成方法
US6086730A (en) 1999-04-22 2000-07-11 Komag, Incorporated Method of sputtering a carbon protective film on a magnetic disk with high sp3 content
JP2000340671A (ja) 1999-05-26 2000-12-08 Fujitsu Ltd 半導体装置の製造方法及び半導体装置
JP2001053066A (ja) 1999-05-28 2001-02-23 Tokyo Electron Ltd オゾン処理装置およびその方法
US6355558B1 (en) 1999-06-10 2002-03-12 Texas Instruments Incorporated Metallization structure, and associated method, to improve crystallographic texture and cavity fill for CVD aluminum/PVD aluminum alloy films
JP2000357699A (ja) 1999-06-16 2000-12-26 Seiko Epson Corp 半導体装置
EP1069213A3 (en) 1999-07-12 2004-01-28 Applied Materials, Inc. Optimal anneal technology for micro-voiding control and self-annealing management of electroplated copper
US6468490B1 (en) 2000-06-29 2002-10-22 Applied Materials, Inc. Abatement of fluorine gas from effluent
JP3544329B2 (ja) 1999-12-14 2004-07-21 東京エレクトロン株式会社 基板処理装置
US6612317B2 (en) 2000-04-18 2003-09-02 S.C. Fluids, Inc Supercritical fluid delivery and recovery system for semiconductor wafer processing
US6334266B1 (en) 1999-09-20 2002-01-01 S.C. Fluids, Inc. Supercritical fluid drying system and method of use
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6299753B1 (en) 1999-09-01 2001-10-09 Applied Materials, Inc. Double pressure vessel chemical dispenser unit
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP2001110729A (ja) 1999-10-06 2001-04-20 Mitsubishi Heavy Ind Ltd 半導体素子の連続製造装置
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
US6475276B1 (en) 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
US20030148631A1 (en) 1999-11-08 2003-08-07 Taiwan Semiconductor Manufacturing Company Oxidative annealing method for forming etched spin-on-glass (SOG) planarizing layer with uniform etch profile
JP3543949B2 (ja) * 1999-11-09 2004-07-21 東京エレクトロン株式会社 熱処理装置
US6500603B1 (en) 1999-11-11 2002-12-31 Mitsui Chemicals, Inc. Method for manufacturing polymer optical waveguide
KR100321561B1 (ko) 1999-11-16 2002-01-23 박호군 휘발 성분이 포함된 다성분 산화물 강유전체 박막의 제조방법
US6399486B1 (en) 1999-11-22 2002-06-04 Taiwan Semiconductor Manufacturing Company Method of improved copper gap fill
TW484170B (en) 1999-11-30 2002-04-21 Applied Materials Inc Integrated modular processing platform
US6344419B1 (en) 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6969448B1 (en) 1999-12-30 2005-11-29 Cypress Semiconductor Corp. Method for forming a metallization structure in an integrated circuit
US6150286A (en) 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6251242B1 (en) 2000-01-21 2001-06-26 Applied Materials, Inc. Magnetron and target producing an extended plasma region in a sputter reactor
US6277249B1 (en) 2000-01-21 2001-08-21 Applied Materials Inc. Integrated process for copper via filling using a magnetron and target producing highly energetic ions
US6319766B1 (en) 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP2001250787A (ja) 2000-03-06 2001-09-14 Hitachi Kokusai Electric Inc 基板処理装置および基板処理方法
AU2001245388A1 (en) 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6506653B1 (en) 2000-03-13 2003-01-14 International Business Machines Corporation Method using disposable and permanent films for diffusion and implant doping
JP4637989B2 (ja) 2000-03-24 2011-02-23 株式会社神戸製鋼所 半導体配線膜の形成方法
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
US20040025908A1 (en) 2000-04-18 2004-02-12 Stephen Douglas Supercritical fluid delivery system for semiconductor wafer processing
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
WO2001088972A1 (en) 2000-05-15 2001-11-22 Asm Microchemistry Oy Process for producing integrated circuits
EP1160826A3 (en) 2000-05-30 2006-12-13 Ebara Corporation Coating, modification and etching of substrate surface with particle beam irradiation
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US6660660B2 (en) 2000-10-10 2003-12-09 Asm International, Nv. Methods for making a dielectric stack in an integrated circuit
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US6464779B1 (en) 2001-01-19 2002-10-15 Novellus Systems, Inc. Copper atomic layer chemical vapor desposition
US6852167B2 (en) 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US6797336B2 (en) 2001-03-22 2004-09-28 Ambp Tech Corporation Multi-component substances and processes for preparation thereof
JP4335469B2 (ja) 2001-03-22 2009-09-30 株式会社荏原製作所 真空排気装置のガス循環量調整方法及び装置
TW544797B (en) * 2001-04-17 2003-08-01 Kobe Steel Ltd High-pressure processing apparatus
JP2002319571A (ja) 2001-04-20 2002-10-31 Kawasaki Microelectronics Kk エッチング槽の前処理方法及び半導体装置の製造方法
US7080651B2 (en) 2001-05-17 2006-07-25 Dainippon Screen Mfg. Co., Ltd. High pressure processing apparatus and method
KR100433846B1 (ko) 2001-05-23 2004-06-04 주식회사 하이닉스반도체 반도체장치의 금속도전막 형성방법
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
EP1271636A1 (en) 2001-06-22 2003-01-02 Infineon Technologies AG Thermal oxidation process control by controlling oxidation agent partial pressure
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
JP3554847B2 (ja) * 2001-07-30 2004-08-18 東京エレクトロン株式会社 熱処理装置
JP2003051474A (ja) 2001-08-03 2003-02-21 Kobe Steel Ltd 高圧処理装置
US6889627B1 (en) 2001-08-08 2005-05-10 Lam Research Corporation Symmetrical semiconductor reactor
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
US6781801B2 (en) 2001-08-10 2004-08-24 Seagate Technology Llc Tunneling magnetoresistive sensor with spin polarized current injection
JP2003077974A (ja) 2001-08-31 2003-03-14 Hitachi Kokusai Electric Inc 基板処理装置および半導体装置の製造方法
US6619304B2 (en) 2001-09-13 2003-09-16 Micell Technologies, Inc. Pressure chamber assembly including non-mechanical drive means
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US7105061B1 (en) 2001-11-07 2006-09-12 Novellus Systems, Inc. Method and apparatus for sealing substrate load port in a high pressure reactor
US6620956B2 (en) 2001-11-16 2003-09-16 Applied Materials, Inc. Nitrogen analogs of copper II β-diketonates as source reagents for semiconductor processing
US20030098069A1 (en) 2001-11-26 2003-05-29 Sund Wesley E. High purity fluid delivery system
JP2003166065A (ja) 2001-11-30 2003-06-13 Sekisui Chem Co Ltd 放電プラズマ処理装置
JP2003188387A (ja) 2001-12-20 2003-07-04 Sony Corp 薄膜トランジスタ及びその製造方法
KR100450564B1 (ko) 2001-12-20 2004-09-30 동부전자 주식회사 반도체 소자의 금속 배선 후처리 방법
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6848458B1 (en) 2002-02-05 2005-02-01 Novellus Systems, Inc. Apparatus and methods for processing semiconductor substrates using supercritical fluids
US6632325B2 (en) 2002-02-07 2003-10-14 Applied Materials, Inc. Article for use in a semiconductor processing chamber and method of fabricating same
US6835503B2 (en) 2002-04-12 2004-12-28 Micron Technology, Inc. Use of a planarizing layer to improve multilayer performance in extreme ultra-violet masks
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US7589029B2 (en) 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7638727B2 (en) 2002-05-08 2009-12-29 Btu International Inc. Plasma-assisted heat treatment
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6657304B1 (en) 2002-06-06 2003-12-02 Advanced Micro Devices, Inc. Conformal barrier liner in an integrated circuit interconnect
US7521089B2 (en) 2002-06-13 2009-04-21 Tokyo Electron Limited Method and apparatus for controlling the movement of CVD reaction byproduct gases to adjacent process chambers
US6846380B2 (en) 2002-06-13 2005-01-25 The Boc Group, Inc. Substrate processing apparatus and related systems and methods
US20070243317A1 (en) 2002-07-15 2007-10-18 Du Bois Dale R Thermal Processing System and Configurable Vertical Chamber
US7335609B2 (en) 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US20070212850A1 (en) 2002-09-19 2007-09-13 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
EP1556902A4 (en) 2002-09-30 2009-07-29 Miasole MANUFACTURING DEVICE AND METHOD FOR PRODUCING THIN FILM SOLAR CELLS IN A LARGE SCALE
US20040060519A1 (en) 2002-10-01 2004-04-01 Seh America Inc. Quartz to quartz seal using expanded PTFE gasket material
US6889508B2 (en) 2002-10-02 2005-05-10 The Boc Group, Inc. High pressure CO2 purification and supply system
US7270761B2 (en) 2002-10-18 2007-09-18 Appleid Materials, Inc Fluorine free integrated process for etching aluminum including chamber dry clean
KR100480634B1 (ko) 2002-11-19 2005-03-31 삼성전자주식회사 니켈 살리사이드 공정을 이용한 반도체 소자의 제조방법
AU2002368383A1 (en) 2002-11-25 2004-06-18 Koyo Thermo Systems Co., Ltd. Electric heater for semiconductor processing apparatus
US20040112409A1 (en) 2002-12-16 2004-06-17 Supercritical Sysems, Inc. Fluoride in supercritical fluid for photoresist and residue removal
US6825115B1 (en) 2003-01-14 2004-11-30 Advanced Micro Devices, Inc. Post silicide laser thermal annealing to avoid dopant deactivation
KR101058882B1 (ko) 2003-02-04 2011-08-23 어플라이드 머티어리얼스, 인코포레이티드 초-저압에서 암모니아를 이용한 급속 열 어닐링을 통한 실리콘 옥시질화물의 질소 프로파일 테일러링
JP3956049B2 (ja) 2003-03-07 2007-08-08 東京エレクトロン株式会社 タングステン膜の形成方法
US6809005B2 (en) 2003-03-12 2004-10-26 Infineon Technologies Ag Method to fill deep trench structures with void-free polysilicon or silicon
US7079760B2 (en) 2003-03-17 2006-07-18 Tokyo Electron Limited Processing system and method for thermally treating a substrate
KR100914087B1 (ko) 2003-05-13 2009-08-27 어플라이드 머티어리얼스, 인코포레이티드 처리 챔버의 개구를 밀봉하기 위한 방법 및 장치
US6867130B1 (en) 2003-05-28 2005-03-15 Advanced Micro Devices, Inc. Enhanced silicidation of polysilicon gate electrodes
US6939794B2 (en) 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7226512B2 (en) 2003-06-18 2007-06-05 Ekc Technology, Inc. Load lock system for supercritical fluid cleaning
US20070012402A1 (en) 2003-07-08 2007-01-18 Sundew Technologies, Llc Apparatus and method for downstream pressure control and sub-atmospheric reactive gas abatement
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
JP4417669B2 (ja) 2003-07-28 2010-02-17 日本エー・エス・エム株式会社 半導体処理装置および半導体ウエハーの導入方法
JP4173781B2 (ja) * 2003-08-13 2008-10-29 株式会社神戸製鋼所 高圧処理方法
US7029966B2 (en) 2003-09-18 2006-04-18 International Business Machines Corporation Process options of forming silicided metal gates for advanced CMOS devices
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US7109087B2 (en) 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
US20070111519A1 (en) 2003-10-15 2007-05-17 Applied Materials, Inc. Integrated electroless deposition system
WO2005057663A2 (en) 2003-12-10 2005-06-23 Koninklijke Philips Electronics N.V. Method and apparatus for fabrication of metal-oxide semiconductor integrated circuit devices
US7158221B2 (en) 2003-12-23 2007-01-02 Applied Materials, Inc. Method and apparatus for performing limited area spectral analysis
US20050136684A1 (en) 2003-12-23 2005-06-23 Applied Materials, Inc. Gap-fill techniques
JP2007522649A (ja) 2003-12-23 2007-08-09 ジョン シー. シューマカー、 半導体反応器用の排気調整システム
US20050250347A1 (en) 2003-12-31 2005-11-10 Bailey Christopher M Method and apparatus for maintaining by-product volatility in deposition process
US20050205210A1 (en) 2004-01-06 2005-09-22 Devine Daniel J Advanced multi-pressure workpiece processing
US7030468B2 (en) 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7037816B2 (en) 2004-01-23 2006-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for integration of HfO2 and RTCVD poly-silicon
US6897118B1 (en) 2004-02-11 2005-05-24 Chartered Semiconductor Manufacturing Ltd. Method of multiple pulse laser annealing to activate ultra-shallow junctions
US20050187647A1 (en) 2004-02-19 2005-08-25 Kuo-Hua Wang Intelligent full automation controlled flow for a semiconductor furnace tool
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
US7030016B2 (en) 2004-03-30 2006-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Post ECP multi-step anneal/H2 treatment to reduce film impurity
JP4393268B2 (ja) 2004-05-20 2010-01-06 株式会社神戸製鋼所 微細構造体の乾燥方法
US20050269291A1 (en) 2004-06-04 2005-12-08 Tokyo Electron Limited Method of operating a processing system for treating a substrate
US7268065B2 (en) 2004-06-18 2007-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing metal-silicide features
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
TWI267183B (en) 2004-09-29 2006-11-21 Sanyo Electric Co Semiconductor device and manufacturing method of the same
US7439168B2 (en) 2004-10-12 2008-10-21 Dcg Systems, Inc Apparatus and method of forming silicide in a localized manner
US7491658B2 (en) 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7427571B2 (en) 2004-10-15 2008-09-23 Asm International, N.V. Reactor design for reduced particulate generation
US8585873B2 (en) 2004-10-16 2013-11-19 Aviza Technology Limited Methods and apparatus for sputtering
US20060091493A1 (en) 2004-11-01 2006-05-04 Silicon-Based Technology Corp. LOCOS Schottky barrier contact structure and its manufacturing method
JP2006135161A (ja) 2004-11-08 2006-05-25 Canon Inc 絶縁膜の形成方法及び装置
US7235472B2 (en) 2004-11-12 2007-06-26 Infineon Technologies Ag Method of making fully silicided gate electrode
CN101061253B (zh) 2004-11-22 2010-12-22 应用材料股份有限公司 使用批式制程腔室的基材处理装置
US7429402B2 (en) 2004-12-10 2008-09-30 Applied Materials, Inc. Ruthenium as an underlayer for tungsten film deposition
US20060240187A1 (en) 2005-01-27 2006-10-26 Applied Materials, Inc. Deposition of an intermediate catalytic layer on a barrier layer for copper metallization
KR100697280B1 (ko) 2005-02-07 2007-03-20 삼성전자주식회사 반도체 제조 설비의 압력 조절 방법
JP5531284B2 (ja) 2005-02-22 2014-06-25 エスピーティーエス テクノロジーズ リミテッド 副チャンバアセンブリを備えるエッチング用チャンバ
US7759749B2 (en) 2005-03-16 2010-07-20 Nec Corporation Metal material, and coating film and wiring for semiconductor integrated circuitry utilizing the metal material
US7211525B1 (en) 2005-03-16 2007-05-01 Novellus Systems, Inc. Hydrogen treatment enhanced gap fill
WO2006101315A1 (en) 2005-03-21 2006-09-28 Pkl Co., Ltd. Device and method for cleaning photomask
US20060226117A1 (en) 2005-03-29 2006-10-12 Bertram Ronald T Phase change based heating element system and method
US7465650B2 (en) 2005-04-14 2008-12-16 Micron Technology, Inc. Methods of forming polysilicon-comprising plugs and methods of forming FLASH memory circuitry
US20120060868A1 (en) 2005-06-07 2012-03-15 Donald Gray Microscale fluid delivery system
KR101229100B1 (ko) 2005-06-10 2013-02-15 오브듀캇 아베 중간 스탬프를 갖는 패턴 복제
JP4747693B2 (ja) 2005-06-28 2011-08-17 住友電気工業株式会社 樹脂体を形成する方法、光導波路のための構造を形成する方法、および光学部品を形成する方法
US7361231B2 (en) 2005-07-01 2008-04-22 Ekc Technology, Inc. System and method for mid-pressure dense phase gas and ultrasonic cleaning
US7637029B2 (en) * 2005-07-08 2009-12-29 Tokyo Electron Limited Vapor drying method, apparatus and recording medium for use in the method
US8148271B2 (en) 2005-08-05 2012-04-03 Hitachi Kokusai Electric Inc. Substrate processing apparatus, coolant gas supply nozzle and semiconductor device manufacturing method
US7534080B2 (en) 2005-08-26 2009-05-19 Ascentool, Inc. Vacuum processing and transfer system
US7531404B2 (en) 2005-08-30 2009-05-12 Intel Corporation Semiconductor device having a metal gate electrode formed on an annealed high-k gate dielectric layer
US8926731B2 (en) 2005-09-13 2015-01-06 Rasirc Methods and devices for producing high purity steam
KR100696178B1 (ko) 2005-09-13 2007-03-20 한국전자통신연구원 광 도파로 마스터 및 그 제조 방법
JP5024047B2 (ja) 2005-10-07 2012-09-12 株式会社ニコン 微小構造体の製造方法
US7794667B2 (en) 2005-10-19 2010-09-14 Moore Epitaxial, Inc. Gas ring and method of processing substrates
US8460519B2 (en) 2005-10-28 2013-06-11 Applied Materials Inc. Protective offset sputtering
US7387968B2 (en) 2005-11-08 2008-06-17 Tokyo Electron Limited Batch photoresist dry strip and ash system and process
DK1948850T3 (da) 2005-11-18 2019-07-29 Luxembourg Inst Science & Tech List Fremgangsmåde til at frembringe en struktur med flere lag
US20070116873A1 (en) 2005-11-18 2007-05-24 Tokyo Electron Limited Apparatus for thermal and plasma enhanced vapor deposition and method of operating
US7432200B2 (en) 2005-12-15 2008-10-07 Intel Corporation Filling narrow and high aspect ratio openings using electroless deposition
US8306026B2 (en) 2005-12-15 2012-11-06 Toshiba America Research, Inc. Last hop topology sensitive multicasting key management
JP2007180310A (ja) 2005-12-28 2007-07-12 Toshiba Corp 半導体装置
KR100684910B1 (ko) 2006-02-02 2007-02-22 삼성전자주식회사 플라즈마 처리 장치 및 그의 클리닝 방법
TW200733242A (en) 2006-02-10 2007-09-01 Poongsan Microtec Co Ltd Methods and apparatuses for high pressure gas annealing
US7578258B2 (en) 2006-03-03 2009-08-25 Lam Research Corporation Methods and apparatus for selective pre-coating of a plasma processing chamber
US7520969B2 (en) 2006-03-07 2009-04-21 Applied Materials, Inc. Notched deposition ring
JP2007242791A (ja) 2006-03-07 2007-09-20 Hitachi Kokusai Electric Inc 基板処理装置
TW200746268A (en) 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
JP4983087B2 (ja) 2006-04-27 2012-07-25 富士通セミコンダクター株式会社 成膜方法、半導体装置の製造方法、コンピュータ可読記録媒体、スパッタ処理装置
US8062408B2 (en) 2006-05-08 2011-11-22 The Board Of Trustees Of The University Of Illinois Integrated vacuum absorption steam cycle gas separation
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7665951B2 (en) * 2006-06-02 2010-02-23 Applied Materials, Inc. Multiple slot load lock chamber and method of operation
US7650965B2 (en) 2006-06-09 2010-01-26 Emcon Technologies Llc Exhaust system
US7709320B2 (en) 2006-06-28 2010-05-04 International Business Machines Corporation Method of fabricating trench capacitors and memory cells using trench capacitors
JP2008073611A (ja) 2006-09-21 2008-04-03 Dainippon Screen Mfg Co Ltd 高圧処理装置
US7494891B2 (en) 2006-09-21 2009-02-24 International Business Machines Corporation Trench capacitor with void-free conductor fill
JP4814038B2 (ja) 2006-09-25 2011-11-09 株式会社日立国際電気 基板処理装置および反応容器の着脱方法
JP4976796B2 (ja) 2006-09-25 2012-07-18 株式会社東芝 半導体装置
US7521379B2 (en) 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
TW200830034A (en) 2006-10-13 2008-07-16 Asahi Glass Co Ltd Method of smoothing surface of substrate for EUV mask blank, and EUV mask blank obtained by the method
JP2008118118A (ja) 2006-10-13 2008-05-22 Asahi Glass Co Ltd Euvマスクブランク用の基板表面を平滑化する方法、および該方法により得られるeuvマスクブランク
US7888273B1 (en) 2006-11-01 2011-02-15 Novellus Systems, Inc. Density gradient-free gap fill
US7790587B2 (en) 2006-11-07 2010-09-07 Intel Corporation Method to reduce junction leakage through partial regrowth with ultrafast anneal and structures formed thereby
JP2008153635A (ja) 2006-11-22 2008-07-03 Toshiba Matsushita Display Technology Co Ltd Mos型半導体素子の製造方法
JP5200371B2 (ja) 2006-12-01 2013-06-05 東京エレクトロン株式会社 成膜方法、半導体装置及び記憶媒体
US20080132050A1 (en) 2006-12-05 2008-06-05 Lavoie Adrien R Deposition process for graded cobalt barrier layers
US20080169183A1 (en) 2007-01-16 2008-07-17 Varian Semiconductor Equipment Associates, Inc. Plasma Source with Liner for Reducing Metal Contamination
JP2008192642A (ja) 2007-01-31 2008-08-21 Tokyo Electron Ltd 基板処理装置
US20080233404A1 (en) 2007-03-22 2008-09-25 3M Innovative Properties Company Microreplication tools and patterns using laser induced thermal embossing
JP5135856B2 (ja) 2007-03-31 2013-02-06 東京エレクトロン株式会社 トラップ装置、排気系及びこれを用いた処理システム
US20080241384A1 (en) 2007-04-02 2008-10-02 Asm Genitech Korea Ltd. Lateral flow deposition apparatus and method of depositing film by using the apparatus
US20080246099A1 (en) * 2007-04-09 2008-10-09 Ajith Varghese Low temperature poly oxide processes for high-k/metal gate flow
DE102007017641A1 (de) 2007-04-13 2008-10-16 Infineon Technologies Ag Aushärtung von Schichten am Halbleitermodul mittels elektromagnetischer Felder
CN101690400B (zh) 2007-04-30 2011-11-30 伊菲雷知识产权公司 用于厚膜介质电致发光显示器的层状厚膜介质结构
JP2010528475A (ja) 2007-05-25 2010-08-19 アプライド マテリアルズ インコーポレイテッド 電子デバイス製造システムを組み立てる及び運転する方法及び装置
US20080311711A1 (en) 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US20090018688A1 (en) 2007-06-15 2009-01-15 Applied Materials, Inc. Methods and systems for designing and validating operation of abatement systems
KR101442238B1 (ko) 2007-07-26 2014-09-23 주식회사 풍산마이크로텍 고압 산소 열처리를 통한 반도체 소자의 제조방법
US7645709B2 (en) 2007-07-30 2010-01-12 Applied Materials, Inc. Methods for low temperature oxidation of a semiconductor device
US7763522B2 (en) 2007-08-01 2010-07-27 United Microelectronic Corp. Method of high density plasma gap-filling with minimization of gas phase nucleation
US8648253B1 (en) 2010-10-01 2014-02-11 Ascent Solar Technologies, Inc. Machine and process for continuous, sequential, deposition of semiconductor solar absorbers having variable semiconductor composition deposited in multiple sublayers
US7951728B2 (en) 2007-09-24 2011-05-31 Applied Materials, Inc. Method of improving oxide growth rate of selective oxidation processes
US7884012B2 (en) 2007-09-28 2011-02-08 Tokyo Electron Limited Void-free copper filling of recessed features for semiconductor devices
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7867923B2 (en) 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
WO2009055750A1 (en) 2007-10-26 2009-04-30 Applied Materials, Inc. Methods and apparatus for smart abatement using an improved fuel circuit
JP5211645B2 (ja) * 2007-11-01 2013-06-12 大日本印刷株式会社 薄膜トランジスタ基板及びその製造方法
JP5299605B2 (ja) 2007-11-19 2013-09-25 日揮触媒化成株式会社 低誘電率シリカ系被膜のダメージ修復方法および該方法により修復された低誘電率シリカ系被膜
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090064279A (ko) 2007-12-14 2009-06-18 노벨러스 시스템즈, 인코포레이티드 손상 없는 갭 충진을 위한 보호 층
US7776740B2 (en) 2008-01-22 2010-08-17 Tokyo Electron Limited Method for integrating selective low-temperature ruthenium deposition into copper metallization of a semiconductor device
US7843063B2 (en) 2008-02-14 2010-11-30 International Business Machines Corporation Microstructure modification in copper interconnect structure
US7964506B1 (en) 2008-03-06 2011-06-21 Novellus Systems, Inc. Two step copper electroplating process with anneal for uniform across wafer deposition and void free filling on ruthenium coated wafers
US20090246952A1 (en) 2008-03-28 2009-10-01 Tokyo Electron Limited Method of forming a cobalt metal nitride barrier film
JP4815464B2 (ja) 2008-03-31 2011-11-16 株式会社日立製作所 微細構造転写スタンパ及び微細構造転写装置
US20090269507A1 (en) 2008-04-29 2009-10-29 Sang-Ho Yu Selective cobalt deposition on copper surfaces
KR101749044B1 (ko) 2008-05-02 2017-06-20 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
US8133793B2 (en) 2008-05-16 2012-03-13 Sandisk 3D Llc Carbon nano-film reversible resistance-switchable elements and methods of forming the same
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US7655532B1 (en) 2008-07-25 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. STI film property using SOD post-treatment
US8945981B2 (en) 2008-07-31 2015-02-03 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and manufacturing method thereof
JP2010080949A (ja) 2008-08-29 2010-04-08 Kisco Ltd 銅膜のアニール方法、アニールされた銅配線およびこの銅配線を有するデバイス
US20100089315A1 (en) 2008-09-22 2010-04-15 Applied Materials, Inc. Shutter disk for physical vapor deposition chamber
US8153533B2 (en) 2008-09-24 2012-04-10 Lam Research Methods and systems for preventing feature collapse during microelectronic topography fabrication
KR20100035000A (ko) 2008-09-25 2010-04-02 삼성전자주식회사 서로 다른 종횡비를 갖는 소자 분리 트렌치 갭필 방법 및 그를 이용한 반도체 소자
JP2012506947A (ja) 2008-10-27 2012-03-22 アプライド マテリアルズ インコーポレイテッド 三元化合物の気相堆積方法
US7891228B2 (en) 2008-11-18 2011-02-22 Mks Instruments, Inc. Dual-mode mass flow verification and mass flow delivery system and method
US8557712B1 (en) 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
KR20100082170A (ko) 2009-01-08 2010-07-16 삼성전자주식회사 실리콘 산화막 패턴 및 소자 분리막 형성 방법
JP2010168607A (ja) 2009-01-21 2010-08-05 Institute Of National Colleges Of Technology Japan 組成比制御が可能な対向ターゲット式スパッタ装置
JP5883652B2 (ja) 2009-02-04 2016-03-15 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated プラズマ処理チャンバのための高周波リターンデバイスおよびプラズマ処理システム
KR101534678B1 (ko) 2009-02-12 2015-07-08 삼성전자주식회사 텅스텐 콘택 플러그를 산소 분위기에서 rta 처리하고, rto 처리된 텅스텐 플러그를 수소 분위기에서 환원시키는 반도체 소자의 제조방법
KR20110129392A (ko) 2009-02-15 2011-12-01 자콥 우드러프 균형 전구체(들)로부터 형성된 태양전지 흡수제층
JP2010205854A (ja) 2009-03-02 2010-09-16 Fujitsu Semiconductor Ltd 半導体装置の製造方法
JP4564570B2 (ja) 2009-03-10 2010-10-20 三井造船株式会社 原子層堆積装置
JP4523661B1 (ja) 2009-03-10 2010-08-11 三井造船株式会社 原子層堆積装置及び薄膜形成方法
US8435830B2 (en) 2009-03-18 2013-05-07 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices
FR2944147B1 (fr) 2009-04-02 2011-09-23 Saint Gobain Procede de fabrication d'une structure a surface externe texturee pour dispositif a diode electroluminescente organique et struture a surface externe texturee
CN102439697B (zh) 2009-04-03 2015-08-19 应用材料公司 高压rf-dc溅射及改善此工艺的膜均匀性和阶梯覆盖率的方法
US20100297854A1 (en) 2009-04-22 2010-11-25 Applied Materials, Inc. High throughput selective oxidation of silicon and polysilicon using plasma at room temperature
US20100304027A1 (en) 2009-05-27 2010-12-02 Applied Materials, Inc. Substrate processing system and methods thereof
JP4415062B1 (ja) 2009-06-22 2010-02-17 富士フイルム株式会社 薄膜トランジスタ及び薄膜トランジスタの製造方法
KR20110000960A (ko) 2009-06-29 2011-01-06 삼성전자주식회사 반도체 칩, 스택 모듈, 메모리 카드 및 그 제조 방법
US9117957B2 (en) 2009-07-01 2015-08-25 Mitsubishi Electric Corporation Thin-film solar battery and method for manufacturing the same
JP2012197463A (ja) 2009-07-03 2012-10-18 Canon Anelva Corp 薄膜の成膜方法
US20110011737A1 (en) 2009-07-17 2011-01-20 Institute Of Nuclear Energy Research Atomic Energy Council, Executive Yuan High-power pulse magnetron sputtering apparatus and surface treatment apparatus using the same
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
KR20110023007A (ko) 2009-08-28 2011-03-08 삼성전자주식회사 박막 태양 전지 및 이의 제조방법
JP2011066100A (ja) 2009-09-16 2011-03-31 Bridgestone Corp 光硬化性転写シート、及びこれを用いた凹凸パターンの形成方法
JP4944228B2 (ja) 2009-09-16 2012-05-30 株式会社日立国際電気 基板処理方法及び基板処理装置
US8278224B1 (en) 2009-09-24 2012-10-02 Novellus Systems, Inc. Flowable oxide deposition using rapid delivery of process gases
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
WO2011062043A1 (en) 2009-11-20 2011-05-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device
US20110151677A1 (en) 2009-12-21 2011-06-23 Applied Materials, Inc. Wet oxidation process performed on a dielectric material formed from a flowable cvd process
US8647992B2 (en) 2010-01-06 2014-02-11 Applied Materials, Inc. Flowable dielectric using oxide liner
JP2013516788A (ja) 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
US8691687B2 (en) 2010-01-07 2014-04-08 International Business Machines Corporation Superfilled metal contact vias for semiconductor devices
US20110174363A1 (en) 2010-01-21 2011-07-21 Aqt Solar, Inc. Control of Composition Profiles in Annealed CIGS Absorbers
US9500362B2 (en) 2010-01-21 2016-11-22 Powerdyne, Inc. Generating steam from carbonaceous material
US8293658B2 (en) 2010-02-17 2012-10-23 Asm America, Inc. Reactive site deactivation against vapor deposition
US20110204518A1 (en) 2010-02-23 2011-08-25 Globalfoundries Inc. Scalability with reduced contact resistance
SG183873A1 (en) 2010-03-05 2012-10-30 Applied Materials Inc Conformal layers by radical-component cvd
TW201133974A (en) 2010-03-23 2011-10-01 Nat Univ Tsing Hua Method for improving the efficiency of a flexible organic solar cell
US9129945B2 (en) 2010-03-24 2015-09-08 Applied Materials, Inc. Formation of liner and barrier for tungsten as gate electrode and as contact plug to reduce resistance and enhance device performance
US8658027B2 (en) * 2010-03-29 2014-02-25 Saudi Arabian Oil Company Integrated hydrotreating and oxidative desulfurization process
US8795488B2 (en) 2010-03-31 2014-08-05 Applied Materials, Inc. Apparatus for physical vapor deposition having centrally fed RF energy
KR20130055607A (ko) 2010-04-23 2013-05-28 가부시키가이샤 한도오따이 에네루기 켄큐쇼 반도체 장치의 제작 방법
JP5697534B2 (ja) 2010-05-14 2015-04-08 株式会社半導体エネルギー研究所 トランジスタの作製方法
KR101163711B1 (ko) 2010-06-15 2012-07-09 서울대학교산학협력단 함몰된 바디에 두개의 게이트를 갖는 1t 디램 소자와 그 동작방법 및 제조방법
CN101871043B (zh) 2010-06-25 2012-07-18 东莞市康汇聚线材科技有限公司 一种退火炉蒸汽发生器及其控制方法
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
JP2012049446A (ja) 2010-08-30 2012-03-08 Toshiba Corp 超臨界乾燥方法及び超臨界乾燥システム
EP2426720A1 (en) 2010-09-03 2012-03-07 Applied Materials, Inc. Staggered thin film transistor and method of forming the same
TW201216331A (en) 2010-10-05 2012-04-16 Applied Materials Inc Ultra high selectivity doped amorphous carbon strippable hardmask development and integration
JP2012089744A (ja) 2010-10-21 2012-05-10 Elpida Memory Inc 半導体装置の製造方法
US20120153483A1 (en) 2010-12-20 2012-06-21 Akolkar Rohan N Barrierless single-phase interconnect
KR101226958B1 (ko) 2011-01-18 2013-01-28 연세대학교 산학협력단 액상 공정 산화물 박막의 제조 방법, 이를 이용한 전자 소자 및 박막 트랜지스터
JP5806827B2 (ja) 2011-03-18 2015-11-10 東京エレクトロン株式会社 ゲートバルブ装置及び基板処理装置並びにその基板処理方法
US9031373B2 (en) 2011-03-25 2015-05-12 Seo Young Lee Lightwave circuit and method for manufacturing same
JP5450494B2 (ja) 2011-03-25 2014-03-26 株式会社東芝 半導体基板の超臨界乾燥方法
US20120252210A1 (en) * 2011-03-30 2012-10-04 Tokyo Electron Limited Method for modifying metal cap layers in semiconductor devices
WO2012133583A1 (ja) 2011-03-30 2012-10-04 大日本印刷株式会社 超臨界乾燥装置及び超臨界乾燥方法
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US8637410B2 (en) 2011-04-08 2014-01-28 Applied Materials, Inc. Method for metal deposition using hydrogen plasma
US9299581B2 (en) 2011-05-12 2016-03-29 Applied Materials, Inc. Methods of dry stripping boron-carbon films
JP6085423B2 (ja) 2011-05-30 2017-02-22 株式会社東芝 基板処理方法、基板処理装置および記憶媒体
WO2012165377A1 (ja) 2011-05-30 2012-12-06 東京エレクトロン株式会社 基板処理方法、基板処理装置および記憶媒体
US8435887B2 (en) 2011-06-02 2013-05-07 International Business Machines Corporation Copper interconnect formation
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
GB201110117D0 (en) 2011-06-16 2011-07-27 Fujifilm Mfg Europe Bv method and device for manufacturing a barrie layer on a flexible substrate
TWI575631B (zh) 2011-06-28 2017-03-21 Dynamic Micro Systems 半導體儲存櫃系統與方法
WO2013008982A1 (ko) 2011-07-14 2013-01-17 엘티씨 (주) 높은 광추출 성능을 갖는 무기 산란막 {inorganic scattering films having high light extraction performance}
US8826657B2 (en) 2011-08-12 2014-09-09 Mcallister Technologies, Llc Systems and methods for providing supplemental aqueous thermal energy
US10023954B2 (en) 2011-09-15 2018-07-17 Applied Materials, Inc. Slit valve apparatus, systems, and methods
US8546227B2 (en) 2011-09-15 2013-10-01 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-K metal gate device
US9368603B2 (en) 2011-09-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Contact for high-k metal gate device
CN103035513B (zh) 2011-09-30 2016-10-05 中芯国际集成电路制造(上海)有限公司 无定形碳膜的形成方法
WO2013065771A1 (ja) 2011-11-01 2013-05-10 株式会社日立国際電気 半導体装置の製造方法、半導体装置の製造装置及び記録媒体
JP5712902B2 (ja) 2011-11-10 2015-05-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
KR101305904B1 (ko) 2011-12-07 2013-09-09 주식회사 테스 반도체소자 제조방법
US20150197455A1 (en) 2011-12-08 2015-07-16 Inmold Biosystems A/S Spin-on-glass assisted polishing of rough substrates
JP2013122493A (ja) 2011-12-09 2013-06-20 Furukawa Electric Co Ltd:The 光分岐素子および光分岐回路
JP2013154315A (ja) 2012-01-31 2013-08-15 Ricoh Co Ltd 薄膜形成装置、薄膜形成方法、電気−機械変換素子、液体吐出ヘッド、およびインクジェット記録装置
US8993458B2 (en) 2012-02-13 2015-03-31 Applied Materials, Inc. Methods and apparatus for selective oxidation of a substrate
JPWO2013129701A1 (ja) 2012-03-02 2015-07-30 独立行政法人科学技術振興機構 導電性膜の形成方法
US8871656B2 (en) 2012-03-05 2014-10-28 Applied Materials, Inc. Flowable films using alternative silicon precursors
JP5577365B2 (ja) 2012-03-15 2014-08-20 コマツ産機株式会社 プレス機械の制動性能確認装置
US9330939B2 (en) 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US9303311B2 (en) 2012-03-30 2016-04-05 Applied Materials, Inc. Substrate processing system with mechanically floating target assembly
US9647066B2 (en) 2012-04-24 2017-05-09 Taiwan Semiconductor Manufacturing Company, Ltd. Dummy FinFET structure and method of making same
US20130288485A1 (en) 2012-04-30 2013-10-31 Applied Materials, Inc. Densification for flowable films
US20130337171A1 (en) 2012-06-13 2013-12-19 Qualcomm Mems Technologies, Inc. N2 purged o-ring for chamber in chamber ald system
KR101224520B1 (ko) 2012-06-27 2013-01-22 (주)이노시티 프로세스 챔버
KR20140003776A (ko) 2012-06-28 2014-01-10 주식회사 메카로닉스 고 저항 산화아연 박막의 제조방법
US20150309073A1 (en) 2012-07-13 2015-10-29 Northwestern University Multifunctional graphene coated scanning tips
JP2014019912A (ja) 2012-07-19 2014-02-03 Tokyo Electron Ltd タングステン膜の成膜方法
US20140034632A1 (en) 2012-08-01 2014-02-06 Heng Pan Apparatus and method for selective oxidation at lower temperature using remote plasma source
US8846448B2 (en) 2012-08-10 2014-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Warpage control in a package-on-package structure
WO2014030371A1 (ja) 2012-08-24 2014-02-27 独立行政法人科学技術振興機構 ゲルマニウム層上に窒化酸化アルミニウム膜を備える半導体構造およびその製造方法
KR102002782B1 (ko) 2012-09-10 2019-07-23 삼성전자주식회사 팽창성 부재를 사용하는 반도체 장치의 제조 방법
JP2014060256A (ja) 2012-09-18 2014-04-03 Tokyo Electron Ltd 処理システム
JP6325229B2 (ja) 2012-10-17 2018-05-16 株式会社半導体エネルギー研究所 酸化物膜の作製方法
US9337318B2 (en) 2012-10-26 2016-05-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with dummy gate on non-recessed shallow trench isolation (STI)
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
SG2013083241A (en) 2012-11-08 2014-06-27 Novellus Systems Inc Conformal film deposition for gapfill
JP6060460B2 (ja) 2012-11-22 2017-01-18 アーゼット・エレクトロニック・マテリアルズ(ルクセンブルグ)ソシエテ・ア・レスポンサビリテ・リミテ シリカ質膜の形成方法及び同方法で形成されたシリカ質膜
TWI689004B (zh) 2012-11-26 2020-03-21 美商應用材料股份有限公司 用於高深寬比半導體元件結構具有污染物去除之無黏附乾燥處理
WO2014085511A2 (en) 2012-11-27 2014-06-05 The Regents Of The University Of California Polymerized metal-organic material for printable photonic devices
US9123577B2 (en) 2012-12-12 2015-09-01 Sandisk Technologies Inc. Air gap isolation in non-volatile memory using sacrificial films
JP2014141739A (ja) 2012-12-27 2014-08-07 Tokyo Electron Ltd 金属マンガン膜の成膜方法、処理システム、電子デバイスの製造方法および電子デバイス
US9559181B2 (en) 2013-11-26 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET device with buried sige oxide
US20150357232A1 (en) 2013-01-22 2015-12-10 Ps4 Luxco S.A.R.L. Method for manufacturing semiconductor device
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140216498A1 (en) 2013-02-06 2014-08-07 Kwangduk Douglas Lee Methods of dry stripping boron-carbon films
US8986557B2 (en) 2013-02-19 2015-03-24 Applied Materials, Inc. HDD patterning using flowable CVD film
KR20140104112A (ko) 2013-02-20 2014-08-28 주식회사 에스에프에이 평면 디스플레이용 화학 기상 증착장치
KR101443792B1 (ko) 2013-02-20 2014-09-26 국제엘렉트릭코리아 주식회사 건식 기상 식각 장치
KR20140106977A (ko) 2013-02-27 2014-09-04 삼성전자주식회사 고성능 금속 산화물 반도체 박막 트랜지스터 및 그 제조방법
US9354508B2 (en) 2013-03-12 2016-05-31 Applied Materials, Inc. Planarized extreme ultraviolet lithography blank, and manufacturing and lithography systems therefor
US9680095B2 (en) 2013-03-13 2017-06-13 Macronix International Co., Ltd. Resistive RAM and fabrication method
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9196768B2 (en) 2013-03-15 2015-11-24 Jehad A. Abushama Method and apparatus for depositing copper—indium—gallium selenide (CuInGaSe2-CIGS) thin films and other materials on a substrate
TWI624897B (zh) 2013-03-15 2018-05-21 應用材料股份有限公司 多位置批次負載鎖定裝置與系統,以及包括該裝置與系統的方法
TWI614102B (zh) 2013-03-15 2018-02-11 應用材料股份有限公司 基板沉積系統、機械手臂運輸設備及用於電子裝置製造之方法
US10224258B2 (en) 2013-03-22 2019-03-05 Applied Materials, Inc. Method of curing thermoplastics with microwave energy
US9190321B2 (en) 2013-04-08 2015-11-17 International Business Machines Corporation Self-forming embedded diffusion barriers
US9087903B2 (en) 2013-04-26 2015-07-21 Taiwan Semiconductor Manufacturing Company, Ltd. Buffer layer omega gate
US10172189B2 (en) 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
KR101287035B1 (ko) 2013-05-07 2013-07-17 호용종합건설주식회사 관 갱생 건증기 공급용 보일러 시스템
CN105247664B (zh) 2013-05-31 2018-04-10 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及炉口盖体
JP6196481B2 (ja) 2013-06-24 2017-09-13 株式会社荏原製作所 排ガス処理装置
KR101542803B1 (ko) * 2013-07-09 2015-08-07 주식회사 네오세미텍 고온고압 송풍식 퍼지수단을 구비한 진공챔버 및 이를 이용한 세정방법
EP2832899A1 (fr) 2013-08-02 2015-02-04 The Swatch Group Research and Development Ltd. Revêtement de diamant et procédé de dépôt d'un tel revêtement
US9178103B2 (en) 2013-08-09 2015-11-03 Tsmc Solar Ltd. Apparatus and method for forming chalcogenide semiconductor absorber materials with sodium impurities
KR102291990B1 (ko) 2013-08-16 2021-08-19 어플라이드 머티어리얼스, 인코포레이티드 텅스텐 육플루오르화물(wf6) 에치백을 이용하여 텅스텐 막을 증착하기 위한 방법
KR101825673B1 (ko) 2013-08-21 2018-02-05 어플라이드 머티어리얼스, 인코포레이티드 반도체 박막 제조들에서의 가변 주파수 마이크로파(vfm) 프로세스들 및 애플리케이션들
JP6226648B2 (ja) 2013-09-04 2017-11-08 昭和電工株式会社 SiCエピタキシャルウェハの製造方法
US9224734B2 (en) 2013-09-13 2015-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with reduced leakage and methods of forming the same
KR20150031889A (ko) 2013-09-17 2015-03-25 엘지이노텍 주식회사 테양전지
KR102271202B1 (ko) 2013-09-27 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
JP6165577B2 (ja) 2013-09-30 2017-07-19 Hoya株式会社 マスクブランクの製造方法及び転写用マスクの製造方法
US9396986B2 (en) 2013-10-04 2016-07-19 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanism of forming a trench structure
US9583655B2 (en) 2013-10-08 2017-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method of making photovoltaic device having high quantum efficiency
JP6129712B2 (ja) 2013-10-24 2017-05-17 信越化学工業株式会社 過熱水蒸気処理装置
JP6254823B2 (ja) 2013-11-01 2017-12-27 Jx金属株式会社 ニッケルシリサイドスパッタリングターゲット及びその製造方法
JP6221710B2 (ja) 2013-12-10 2017-11-01 住友電気工業株式会社 半導体装置の製造方法
CN105829259A (zh) 2013-12-22 2016-08-03 应用材料公司 用于紫外线光刻的玻璃陶瓷及其制造方法
US9406547B2 (en) 2013-12-24 2016-08-02 Intel Corporation Techniques for trench isolation using flowable dielectric materials
CN103745978B (zh) 2014-01-03 2016-08-17 京东方科技集团股份有限公司 显示装置、阵列基板及其制作方法
US9677172B2 (en) 2014-01-21 2017-06-13 Applied Materials, Inc. Methods for forming a cobalt-ruthenium liner layer for interconnect structures
US9660035B2 (en) 2014-01-29 2017-05-23 International Business Machines Corporation Semiconductor device including superlattice SiGe/Si fin structure
US9257527B2 (en) 2014-02-14 2016-02-09 International Business Machines Corporation Nanowire transistor structures with merged source/drain regions using auxiliary pillars
JP6094513B2 (ja) * 2014-02-28 2017-03-15 東京エレクトロン株式会社 処理ガス発生装置、処理ガス発生方法、基板処理方法及び記憶媒体
US9818603B2 (en) 2014-03-06 2017-11-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US9496145B2 (en) 2014-03-19 2016-11-15 Applied Materials, Inc. Electrochemical plating methods
WO2015143371A1 (en) 2014-03-21 2015-09-24 Brookhaven Science Associates, Llc Hole blocking, electron transporting and window layer for optimized cuin(1-x)ga(x)se2 solar cells
US11183375B2 (en) 2014-03-31 2021-11-23 Applied Materials, Inc. Deposition system with multi-cathode and method of manufacture thereof
KR101571715B1 (ko) 2014-04-23 2015-11-25 주식회사 풍산 고압 열처리를 이용한 스핀 온 글래스 절연막 형성방법
US9984915B2 (en) 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer
KR101561924B1 (ko) 2014-06-12 2015-10-22 연세대학교 산학협력단 산화물 박막 후처리 방법, 및 그를 이용한 반도체 소자 제조 방법
CN104047676A (zh) 2014-06-14 2014-09-17 马根昌 改良式对冲消声器
WO2015195081A1 (en) 2014-06-16 2015-12-23 Intel Corporation Seam healing of metal interconnects
CN104089491B (zh) 2014-07-03 2015-11-04 肇庆宏旺金属实业有限公司 退火炉的余热回收利用系统
JP6385748B2 (ja) * 2014-07-24 2018-09-05 東京エレクトロン株式会社 熱処理装置及び熱処理方法
US9257314B1 (en) 2014-07-31 2016-02-09 Poongsan Corporation Methods and apparatuses for deuterium recovery
US10350543B2 (en) * 2014-08-12 2019-07-16 Noram Engineering And Constructors Ltd. Gas treatment process and apparatus
US9695503B2 (en) 2014-08-22 2017-07-04 Applied Materials, Inc. High power impulse magnetron sputtering process to achieve a high density high SP3 containing layer
JPWO2016038664A1 (ja) 2014-09-08 2017-04-27 三菱電機株式会社 半導体アニール装置
US9773865B2 (en) 2014-09-22 2017-09-26 International Business Machines Corporation Self-forming spacers using oxidation
US9484461B2 (en) 2014-09-29 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9711414B2 (en) 2014-10-21 2017-07-18 Samsung Electronics Co., Ltd. Strained stacked nanosheet FETS and/or quantum well stacked nanosheet
US20160118391A1 (en) 2014-10-22 2016-04-28 SanDisk Technologies, Inc. Deuterium anneal of semiconductor channels in a three-dimensional memory structure
JP6871161B2 (ja) 2014-10-24 2021-05-12 バーサム マテリアルズ ユーエス,リミティド ライアビリティ カンパニー ケイ素含有膜の堆積のための組成物及びそれを使用した方法
US10204764B2 (en) 2014-10-28 2019-02-12 Applied Materials, Inc. Methods for forming a metal silicide interconnection nanowire structure
US9768060B2 (en) 2014-10-29 2017-09-19 Applied Materials, Inc. Systems and methods for electrochemical deposition on a workpiece including removing contamination from seed layer surface prior to ECD
US9543141B2 (en) 2014-12-09 2017-01-10 Taiwan Semiconductor Manufacturing Co., Ltd Method for curing flowable layer
US9780214B2 (en) 2014-12-22 2017-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including Fin- FET and manufacturing method thereof
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9613859B2 (en) 2015-01-09 2017-04-04 Applied Materials, Inc. Direct deposition of nickel silicide nanowire
TW201639063A (zh) 2015-01-22 2016-11-01 應用材料股份有限公司 批量加熱和冷卻腔室或負載鎖定裝置
SG10202012631SA (en) 2015-02-06 2021-01-28 Versum Materials Us Llc Compositions and methods using same for carbon doped silicon containing films
US9859039B2 (en) 2015-02-13 2018-01-02 Alexander Otto Multifilament superconducting wire with high resistance sleeves
US20160268127A1 (en) 2015-03-13 2016-09-15 Semiconductor Energy Laboratory Co., Ltd. Oxide and Manufacturing Method Thereof
US9711535B2 (en) 2015-03-13 2017-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming FinFET channel
US9590102B2 (en) 2015-04-15 2017-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6826044B2 (ja) 2015-04-20 2021-02-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated バッファチャンバのウエハ加熱機構と支持ロボット
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9685303B2 (en) 2015-05-08 2017-06-20 Varian Semiconductor Equipment Associates, Inc. Apparatus for heating and processing a substrate
US10443934B2 (en) 2015-05-08 2019-10-15 Varian Semiconductor Equipment Associates, Inc. Substrate handling and heating system
TWI825991B (zh) 2015-05-11 2023-12-11 美商應用材料股份有限公司 水平環繞式閘極與鰭式場效電晶體元件的隔離
KR101681190B1 (ko) 2015-05-15 2016-12-02 세메스 주식회사 기판 건조 장치 및 방법
CN106159038B (zh) 2015-05-15 2020-02-11 北京铂阳顶荣光伏科技有限公司 用于光伏结的硒化铜铟镓上的六方相外延硫化镉
US10945313B2 (en) 2015-05-27 2021-03-09 Applied Materials, Inc. Methods and apparatus for a microwave batch curing process
KR20180006496A (ko) 2015-06-05 2018-01-17 어플라이드 머티어리얼스, 인코포레이티드 서셉터 포지션 및 회전 장치, 및 사용 방법들
CN107836034B (zh) 2015-06-05 2022-07-19 东京毅力科创株式会社 用于互连的钌金属特征部填充
US9633839B2 (en) 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US20160379854A1 (en) 2015-06-29 2016-12-29 Varian Semiconductor Equipment Associates, Inc. Vacuum Compatible LED Substrate Heater
US9728430B2 (en) 2015-06-29 2017-08-08 Varian Semiconductor Equipment Associates, Inc. Electrostatic chuck with LED heating
US10170608B2 (en) 2015-06-30 2019-01-01 International Business Machines Corporation Internal spacer formation from selective oxidation for fin-first wire-last replacement gate-all-around nanowire FET
US9646850B2 (en) 2015-07-06 2017-05-09 Globalfoundries Inc. High-pressure anneal
KR102411946B1 (ko) 2015-07-08 2022-06-22 삼성전자주식회사 초임계 유체를 이용한 기판 처리장치와 이를 포함하는 기판 처리 시스템 및 이를 이용한 기판처리 방법
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9666606B2 (en) 2015-08-21 2017-05-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and electronic device
US10468238B2 (en) 2015-08-21 2019-11-05 Applied Materials, Inc. Methods and apparatus for co-sputtering multiple targets
US9484406B1 (en) 2015-09-03 2016-11-01 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9530737B1 (en) 2015-09-28 2016-12-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
JP6457104B2 (ja) * 2015-09-29 2019-01-23 株式会社Kokusai Electric 基板処理装置、半導体装置の製造方法及びプログラム
CN107924840B (zh) * 2015-09-30 2022-04-01 株式会社国际电气 衬底处理装置、半导体器件的制造方法及记录介质
US9716142B2 (en) 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9755047B2 (en) 2015-10-27 2017-09-05 United Microelectronics Corp. Semiconductor process and semiconductor device
US9484255B1 (en) 2015-11-03 2016-11-01 International Business Machines Corporation Hybrid source and drain contact formation using metal liner and metal insulator semiconductor contacts
US9754840B2 (en) 2015-11-16 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Horizontal gate-all-around device having wrapped-around source and drain
US9502307B1 (en) 2015-11-20 2016-11-22 International Business Machines Corporation Forming a semiconductor structure for reduced negative bias temperature instability
US9633838B2 (en) 2015-12-28 2017-04-25 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition of silicon-containing films using penta-substituted disilanes
WO2017120102A1 (en) 2016-01-05 2017-07-13 Applied Materials, Inc. Method for fabricating nanowires for horizontal gate all around devices for semiconductor applications
US9805976B2 (en) 2016-01-08 2017-10-31 Applied Materials, Inc. Co or Ni and Cu integration for small and large features in integrated circuits
US9570551B1 (en) 2016-02-05 2017-02-14 International Business Machines Corporation Replacement III-V or germanium nanowires by unilateral confined epitaxial growth
US9679810B1 (en) 2016-02-11 2017-06-13 Globalfoundries Inc. Integrated circuit having improved electromigration performance and method of forming same
JP6240695B2 (ja) 2016-03-02 2017-11-29 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及びプログラム
KR102513161B1 (ko) 2016-03-11 2023-03-22 가부시키가이샤 한도오따이 에네루기 켄큐쇼 복합체 및 트랜지스터
US11326253B2 (en) 2016-04-27 2022-05-10 Applied Materials, Inc. Atomic layer deposition of protective coatings for semiconductor process chamber components
US10049927B2 (en) 2016-06-10 2018-08-14 Applied Materials, Inc. Seam-healing method upon supra-atmospheric process in diffusion promoting ambient
TWI680535B (zh) 2016-06-14 2019-12-21 美商應用材料股份有限公司 金屬及含金屬化合物之氧化體積膨脹
US9933314B2 (en) 2016-06-30 2018-04-03 Varian Semiconductor Equipment Associates, Inc. Semiconductor workpiece temperature measurement system
US9876019B1 (en) 2016-07-13 2018-01-23 Globalfoundries Singapore Pte. Ltd. Integrated circuits with programmable memory and methods for producing the same
US10020186B2 (en) 2016-07-29 2018-07-10 Applied Materials, Inc. Silicon germanium selective oxidation process
US10115670B2 (en) 2016-08-17 2018-10-30 International Business Machines Corporation Formation of advanced interconnects including set of metal conductor structures in patterned dielectric layer
US10858727B2 (en) 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180087418A1 (en) 2016-09-22 2018-03-29 Castrol Limited Fluid Method and System
KR20190047139A (ko) 2016-09-30 2019-05-07 어플라이드 머티어리얼스, 인코포레이티드 자가-정렬 비아들을 형성하는 방법들
US10249525B2 (en) 2016-10-03 2019-04-02 Applied Materials, Inc. Dynamic leveling process heater lift
US9741626B1 (en) 2016-10-20 2017-08-22 International Business Machines Corporation Vertical transistor with uniform bottom spacer formed by selective oxidation
KR102582671B1 (ko) 2016-12-22 2023-09-25 삼성전자주식회사 반도체 소자
US10570506B2 (en) 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
TWI809712B (zh) 2017-01-24 2023-07-21 美商應用材料股份有限公司 用於在基板上形成鈷層的方法
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
JP6737215B2 (ja) * 2017-03-16 2020-08-05 東京エレクトロン株式会社 成膜装置、成膜方法及び記憶媒体
KR20230162158A (ko) 2017-03-31 2023-11-28 어플라이드 머티어리얼스, 인코포레이티드 고종횡비 트렌치들을 비정질 실리콘 막으로 갭충전하기 위한 2-단계 프로세스
CN110476239B (zh) 2017-04-07 2023-10-13 应用材料公司 使用反应性退火的间隙填充
KR20190133276A (ko) 2017-04-21 2019-12-02 어플라이드 머티어리얼스, 인코포레이티드 개선된 전극 조립체
JP7235678B2 (ja) 2017-05-01 2023-03-08 アプライド マテリアルズ インコーポレイテッド 真空分離及び前処理環境を伴う高圧アニールチャンバ
KR20190138315A (ko) 2017-05-03 2019-12-12 어플라이드 머티어리얼스, 인코포레이티드 고온 세라믹 가열기 상의 통합형 기판 온도 측정
KR102306675B1 (ko) 2017-05-19 2021-09-28 어플라이드 머티어리얼스, 인코포레이티드 액체 및 고체 유출물의 수집 및 가스 유출물로의 후속 반응을 위한 장치
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
JP7184810B6 (ja) * 2017-06-02 2022-12-16 アプライド マテリアルズ インコーポレイテッド 基板に堆積された膜の品質改善
CN110678973B (zh) 2017-06-02 2023-09-19 应用材料公司 碳化硼硬掩模的干式剥除
US10388533B2 (en) 2017-06-16 2019-08-20 Applied Materials, Inc. Process integration method to tune resistivity of nickel silicide
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
US10096516B1 (en) * 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
US10720341B2 (en) 2017-11-11 2020-07-21 Micromaterials, LLC Gas delivery system for high pressure processing chamber
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
KR20200075892A (ko) 2017-11-17 2020-06-26 어플라이드 머티어리얼스, 인코포레이티드 고압 처리 시스템을 위한 컨덴서 시스템
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202006237RA (en) 2018-02-22 2020-09-29 Applied Materials Inc Method for processing a mask substrate to enable better film quality
US11114333B2 (en) 2018-02-22 2021-09-07 Micromaterials, LLC Method for depositing and reflow of a high quality etch resistant gapfill dielectric film
KR102536820B1 (ko) * 2018-03-09 2023-05-24 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10916433B2 (en) 2018-04-06 2021-02-09 Applied Materials, Inc. Methods of forming metal silicide layers and metal silicide layers formed therefrom
WO2019204124A1 (en) 2018-04-20 2019-10-24 Applied Materials, Inc. Ceramic wafer heater with integrated pressurized helium cooling
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11499666B2 (en) 2018-05-25 2022-11-15 Applied Materials, Inc. Precision dynamic leveling mechanism with long motion capability
US11434569B2 (en) 2018-05-25 2022-09-06 Applied Materials, Inc. Ground path systems for providing a shorter and symmetrical ground path
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10790183B2 (en) * 2018-06-05 2020-09-29 Applied Materials, Inc. Selective oxidation for 3D device isolation
US20200035513A1 (en) 2018-07-25 2020-01-30 Applied Materials, Inc. Processing apparatus
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
KR20210055785A (ko) * 2018-10-03 2021-05-17 램 리써치 코포레이션 나노와이어들을 위한 선택적인 에칭
KR102528076B1 (ko) 2018-10-30 2023-05-03 어플라이드 머티어리얼스, 인코포레이티드 반도체 응용들을 위한 구조를 식각하기 위한 방법들
US11101174B2 (en) 2019-10-15 2021-08-24 Applied Materials, Inc. Gap fill deposition process
US11728449B2 (en) 2019-12-03 2023-08-15 Applied Materials, Inc. Copper, indium, gallium, selenium (CIGS) films with improved quantum efficiency
US20220102175A1 (en) * 2020-09-30 2022-03-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor substrate boat and methods of using the same

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH11354515A (ja) * 1998-06-04 1999-12-24 Ishikawajima Harima Heavy Ind Co Ltd 加圧式加熱炉
JP2004127958A (ja) * 2002-09-30 2004-04-22 Kyoshin Engineering:Kk 高圧アニール水蒸気処理を行なう装置及び方法
JP2005079528A (ja) * 2003-09-03 2005-03-24 Kyoshin Engineering:Kk 高精度高圧アニール装置
CN101385131A (zh) * 2006-02-10 2009-03-11 株式会社豊山Microtec 用于高压气体退火的方法及设备
JP2011029394A (ja) * 2009-07-24 2011-02-10 Utec:Kk Pzt膜、電子部品、酸化物材料膜の製造方法及び水蒸気加圧急速加熱装置
CN104520975A (zh) * 2012-07-30 2015-04-15 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及记录介质
CN105556651A (zh) * 2013-09-10 2016-05-04 泰拉半导体株式会社 热处理装置以及具备该热处理装置的热处理系统
CN106463362A (zh) * 2014-05-14 2017-02-22 应用材料公司 具有气体分布及单独泵送的批量固化腔室
WO2016007874A1 (en) * 2014-07-11 2016-01-14 Applied Materials, Inc. Supercritical carbon dioxide process for low-k thin films

Also Published As

Publication number Publication date
US20200243345A1 (en) 2020-07-30
WO2019036157A1 (en) 2019-02-21
TW201913827A (zh) 2019-04-01
JP2020532106A (ja) 2020-11-05
US20200234973A1 (en) 2020-07-23
CN111095513A (zh) 2020-05-01
JP6947914B2 (ja) 2021-10-13
US11462417B2 (en) 2022-10-04
US10636677B2 (en) 2020-04-28
US11469113B2 (en) 2022-10-11
US20190057879A1 (en) 2019-02-21
KR102405723B1 (ko) 2022-06-07
KR20200032269A (ko) 2020-03-25

Similar Documents

Publication Publication Date Title
CN111095513B (zh) 高压高温退火腔室
US11694912B2 (en) High pressure and high temperature anneal chamber
CN209312719U (zh) 用于对基板进行退火的系统
JP7330181B2 (ja) 高圧蒸気アニール処理装置
US20200185260A1 (en) Semiconductor processing system
TWI835739B (zh) 高壓高溫退火腔室
TWI836726B (zh) 高壓及高溫退火腔室
TW202410209A (zh) 高壓高溫退火腔室
KR20230153248A (ko) 가스 공급 시스템, 기판 처리 장치 및 반도체 장치의 제조 방법
JP2023164282A (ja) ガス供給システム、基板処理装置及び半導体装置の製造方法
TW202331826A (zh) 具快速排熱能力之高溫基座

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant