JP2012506947A - 三元化合物の気相堆積方法 - Google Patents
三元化合物の気相堆積方法 Download PDFInfo
- Publication number
- JP2012506947A JP2012506947A JP2011533422A JP2011533422A JP2012506947A JP 2012506947 A JP2012506947 A JP 2012506947A JP 2011533422 A JP2011533422 A JP 2011533422A JP 2011533422 A JP2011533422 A JP 2011533422A JP 2012506947 A JP2012506947 A JP 2012506947A
- Authority
- JP
- Japan
- Prior art keywords
- titanium
- layer
- aluminum
- substrate
- precursor
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Pending
Links
- 238000000034 method Methods 0.000 title claims abstract description 233
- 150000001875 compounds Chemical class 0.000 title abstract description 18
- 238000007740 vapor deposition Methods 0.000 title description 4
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 claims abstract description 232
- 239000002243 precursor Substances 0.000 claims abstract description 209
- 239000007789 gas Substances 0.000 claims abstract description 196
- 230000008569 process Effects 0.000 claims abstract description 173
- 239000000463 material Substances 0.000 claims abstract description 172
- UQZIWOQVLUASCR-UHFFFAOYSA-N alumane;titanium Chemical compound [AlH3].[Ti] UQZIWOQVLUASCR-UHFFFAOYSA-N 0.000 claims abstract description 167
- 229910052719 titanium Inorganic materials 0.000 claims abstract description 166
- 239000010936 titanium Substances 0.000 claims abstract description 166
- RTAQQCXQSZGOHL-UHFFFAOYSA-N Titanium Chemical compound [Ti] RTAQQCXQSZGOHL-UHFFFAOYSA-N 0.000 claims abstract description 164
- 239000000758 substrate Substances 0.000 claims abstract description 153
- 229910052782 aluminium Inorganic materials 0.000 claims abstract description 130
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims abstract description 128
- 229910052757 nitrogen Inorganic materials 0.000 claims abstract description 115
- NRTOMJZYCJJWKI-UHFFFAOYSA-N Titanium nitride Chemical compound [Ti]#N NRTOMJZYCJJWKI-UHFFFAOYSA-N 0.000 claims abstract description 112
- 238000000231 atomic layer deposition Methods 0.000 claims abstract description 43
- 238000005019 vapor deposition process Methods 0.000 claims abstract description 18
- 238000000151 deposition Methods 0.000 claims description 139
- 230000008021 deposition Effects 0.000 claims description 122
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 52
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 claims description 46
- 229910052802 copper Inorganic materials 0.000 claims description 46
- 239000010949 copper Substances 0.000 claims description 46
- 229910052751 metal Inorganic materials 0.000 claims description 34
- 239000002184 metal Substances 0.000 claims description 33
- MNWRORMXBIWXCI-UHFFFAOYSA-N tetrakis(dimethylamido)titanium Chemical compound CN(C)[Ti](N(C)C)(N(C)C)N(C)C MNWRORMXBIWXCI-UHFFFAOYSA-N 0.000 claims description 33
- 230000004888 barrier function Effects 0.000 claims description 31
- 238000011282 treatment Methods 0.000 claims description 31
- 229910052721 tungsten Inorganic materials 0.000 claims description 27
- 239000010937 tungsten Substances 0.000 claims description 27
- 229910021529 ammonia Inorganic materials 0.000 claims description 26
- WFKWXMTUELFFGS-UHFFFAOYSA-N tungsten Chemical compound [W] WFKWXMTUELFFGS-UHFFFAOYSA-N 0.000 claims description 26
- 229910017052 cobalt Inorganic materials 0.000 claims description 20
- 239000010941 cobalt Substances 0.000 claims description 20
- GUTLYIVDDKVIGB-UHFFFAOYSA-N cobalt atom Chemical compound [Co] GUTLYIVDDKVIGB-UHFFFAOYSA-N 0.000 claims description 20
- KJTLSVCANCCWHF-UHFFFAOYSA-N Ruthenium Chemical compound [Ru] KJTLSVCANCCWHF-UHFFFAOYSA-N 0.000 claims description 19
- 229910052707 ruthenium Inorganic materials 0.000 claims description 19
- 239000003990 capacitor Substances 0.000 claims description 18
- 239000001257 hydrogen Substances 0.000 claims description 18
- 229910052739 hydrogen Inorganic materials 0.000 claims description 18
- 229910045601 alloy Inorganic materials 0.000 claims description 14
- 239000000956 alloy Substances 0.000 claims description 14
- -1 derivatives thereof Substances 0.000 claims description 12
- PXHVJJICTQNCMI-UHFFFAOYSA-N Nickel Chemical compound [Ni] PXHVJJICTQNCMI-UHFFFAOYSA-N 0.000 claims description 10
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 9
- 229920005591 polysilicon Polymers 0.000 claims description 9
- JLTRXTDYQLMHGR-UHFFFAOYSA-N trimethylaluminium Chemical compound C[Al](C)C JLTRXTDYQLMHGR-UHFFFAOYSA-N 0.000 claims description 9
- VSCWAEJMTAWNJL-UHFFFAOYSA-K aluminium trichloride Chemical compound Cl[Al](Cl)Cl VSCWAEJMTAWNJL-UHFFFAOYSA-K 0.000 claims description 8
- BASFCYQUMIYNBI-UHFFFAOYSA-N platinum Chemical compound [Pt] BASFCYQUMIYNBI-UHFFFAOYSA-N 0.000 claims description 8
- 229910052709 silver Inorganic materials 0.000 claims description 7
- 239000004332 silver Substances 0.000 claims description 7
- RTAKQLTYPVIOBZ-UHFFFAOYSA-N tritert-butylalumane Chemical compound CC(C)(C)[Al](C(C)(C)C)C(C)(C)C RTAKQLTYPVIOBZ-UHFFFAOYSA-N 0.000 claims description 7
- BQCADISMDOOEFD-UHFFFAOYSA-N Silver Chemical compound [Ag] BQCADISMDOOEFD-UHFFFAOYSA-N 0.000 claims description 6
- 229910052454 barium strontium titanate Inorganic materials 0.000 claims description 5
- 229910052759 nickel Inorganic materials 0.000 claims description 5
- RVTZCBVAJQQJTK-UHFFFAOYSA-N oxygen(2-);zirconium(4+) Chemical compound [O-2].[O-2].[Zr+4] RVTZCBVAJQQJTK-UHFFFAOYSA-N 0.000 claims description 5
- 229910001928 zirconium oxide Inorganic materials 0.000 claims description 5
- 229910000449 hafnium oxide Inorganic materials 0.000 claims description 4
- WIHZLLGSGQNAGK-UHFFFAOYSA-N hafnium(4+);oxygen(2-) Chemical compound [O-2].[O-2].[Hf+4] WIHZLLGSGQNAGK-UHFFFAOYSA-N 0.000 claims description 4
- 229910052697 platinum Inorganic materials 0.000 claims description 4
- BPQQTUXANYXVAA-UHFFFAOYSA-N Orthosilicate Chemical compound [O-][Si]([O-])([O-])[O-] BPQQTUXANYXVAA-UHFFFAOYSA-N 0.000 claims description 3
- VYBYZVVRYQDCGQ-UHFFFAOYSA-N alumane;hafnium Chemical compound [AlH3].[Hf] VYBYZVVRYQDCGQ-UHFFFAOYSA-N 0.000 claims description 3
- LNKYFCABELSPAN-UHFFFAOYSA-N ethyl(methyl)azanide;titanium(4+) Chemical compound [Ti+4].CC[N-]C.CC[N-]C.CC[N-]C.CC[N-]C LNKYFCABELSPAN-UHFFFAOYSA-N 0.000 claims description 3
- 229910052735 hafnium Inorganic materials 0.000 claims description 3
- VBJZVLUMGGDVMO-UHFFFAOYSA-N hafnium atom Chemical compound [Hf] VBJZVLUMGGDVMO-UHFFFAOYSA-N 0.000 claims description 3
- 239000000203 mixture Substances 0.000 claims description 3
- 150000004760 silicates Chemical class 0.000 claims description 3
- XRFHCHCLSRSSPQ-UHFFFAOYSA-N strontium;oxygen(2-);titanium(4+) Chemical compound [O-2].[O-2].[O-2].[Ti+4].[Sr+2] XRFHCHCLSRSSPQ-UHFFFAOYSA-N 0.000 claims description 3
- 150000004645 aluminates Chemical class 0.000 claims description 2
- VJDVOZLYDLHLSM-UHFFFAOYSA-N diethylazanide;titanium(4+) Chemical compound [Ti+4].CC[N-]CC.CC[N-]CC.CC[N-]CC.CC[N-]CC VJDVOZLYDLHLSM-UHFFFAOYSA-N 0.000 claims description 2
- 239000006096 absorbing agent Substances 0.000 claims 1
- 125000004435 hydrogen atom Chemical class [H]* 0.000 claims 1
- 238000012545 processing Methods 0.000 abstract description 8
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 abstract 1
- 239000010410 layer Substances 0.000 description 260
- 210000002381 plasma Anatomy 0.000 description 158
- 239000000376 reactant Substances 0.000 description 85
- 238000010926 purge Methods 0.000 description 35
- 238000005229 chemical vapour deposition Methods 0.000 description 17
- 239000012159 carrier gas Substances 0.000 description 16
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 14
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 14
- 229910052799 carbon Inorganic materials 0.000 description 14
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 13
- 238000005553 drilling Methods 0.000 description 13
- 229910052710 silicon Inorganic materials 0.000 description 13
- 239000010703 silicon Substances 0.000 description 13
- 230000015572 biosynthetic process Effects 0.000 description 11
- 150000002431 hydrogen Chemical class 0.000 description 11
- 239000011261 inert gas Substances 0.000 description 10
- 238000006243 chemical reaction Methods 0.000 description 9
- 238000004140 cleaning Methods 0.000 description 9
- 239000012535 impurity Substances 0.000 description 8
- 229910052786 argon Inorganic materials 0.000 description 7
- 230000008859 change Effects 0.000 description 7
- 239000000460 chlorine Substances 0.000 description 7
- SPRIOUNJHPCKPV-UHFFFAOYSA-N hydridoaluminium Chemical compound [AlH] SPRIOUNJHPCKPV-UHFFFAOYSA-N 0.000 description 7
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 description 6
- KDLHZDBZIXYQEI-UHFFFAOYSA-N Palladium Chemical compound [Pd] KDLHZDBZIXYQEI-UHFFFAOYSA-N 0.000 description 6
- 230000006870 function Effects 0.000 description 6
- 238000004519 manufacturing process Methods 0.000 description 6
- 238000009832 plasma treatment Methods 0.000 description 6
- ZAMOUSCENKQFHK-UHFFFAOYSA-N Chlorine atom Chemical compound [Cl] ZAMOUSCENKQFHK-UHFFFAOYSA-N 0.000 description 5
- 229940126062 Compound A Drugs 0.000 description 5
- NLDMNSXOCDLTTB-UHFFFAOYSA-N Heterophylliin A Natural products O1C2COC(=O)C3=CC(O)=C(O)C(O)=C3C3=C(O)C(O)=C(O)C=C3C(=O)OC2C(OC(=O)C=2C=C(O)C(O)=C(O)C=2)C(O)C1OC(=O)C1=CC(O)=C(O)C(O)=C1 NLDMNSXOCDLTTB-UHFFFAOYSA-N 0.000 description 5
- 229910001370 Se alloy Inorganic materials 0.000 description 5
- 229910001215 Te alloy Inorganic materials 0.000 description 5
- 229910052801 chlorine Inorganic materials 0.000 description 5
- 239000004020 conductor Substances 0.000 description 5
- 229910052734 helium Inorganic materials 0.000 description 5
- 239000001307 helium Substances 0.000 description 5
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 5
- 150000004767 nitrides Chemical class 0.000 description 5
- 238000000623 plasma-assisted chemical vapour deposition Methods 0.000 description 5
- 235000012431 wafers Nutrition 0.000 description 5
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 4
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 4
- 239000003708 ampul Substances 0.000 description 4
- 239000000356 contaminant Substances 0.000 description 4
- PMHQVHHXPFUNSP-UHFFFAOYSA-M copper(1+);methylsulfanylmethane;bromide Chemical compound Br[Cu].CSC PMHQVHHXPFUNSP-UHFFFAOYSA-M 0.000 description 4
- 238000005137 deposition process Methods 0.000 description 4
- 229910001873 dinitrogen Inorganic materials 0.000 description 4
- 239000000945 filler Substances 0.000 description 4
- 229910052754 neon Inorganic materials 0.000 description 4
- GKAOGPIIYCISHV-UHFFFAOYSA-N neon atom Chemical compound [Ne] GKAOGPIIYCISHV-UHFFFAOYSA-N 0.000 description 4
- 229910052814 silicon oxide Inorganic materials 0.000 description 4
- 125000004429 atom Chemical group 0.000 description 3
- 239000012707 chemical precursor Substances 0.000 description 3
- 229910052732 germanium Inorganic materials 0.000 description 3
- GNPVGFCGXDBREM-UHFFFAOYSA-N germanium atom Chemical compound [Ge] GNPVGFCGXDBREM-UHFFFAOYSA-N 0.000 description 3
- 238000002347 injection Methods 0.000 description 3
- 239000007924 injection Substances 0.000 description 3
- 230000010354 integration Effects 0.000 description 3
- 150000002739 metals Chemical class 0.000 description 3
- 230000006911 nucleation Effects 0.000 description 3
- 238000010899 nucleation Methods 0.000 description 3
- 229910052763 palladium Inorganic materials 0.000 description 3
- 239000012071 phase Substances 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- XJDNKRIXUMDJCW-UHFFFAOYSA-J titanium tetrachloride Chemical compound Cl[Ti](Cl)(Cl)Cl XJDNKRIXUMDJCW-UHFFFAOYSA-J 0.000 description 3
- ZOXJGFHDIHLPTG-UHFFFAOYSA-N Boron Chemical compound [B] ZOXJGFHDIHLPTG-UHFFFAOYSA-N 0.000 description 2
- 229910000881 Cu alloy Inorganic materials 0.000 description 2
- BUGBHKTXTAQXES-UHFFFAOYSA-N Selenium Chemical compound [Se] BUGBHKTXTAQXES-UHFFFAOYSA-N 0.000 description 2
- 229910052581 Si3N4 Inorganic materials 0.000 description 2
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 2
- 238000010521 absorption reaction Methods 0.000 description 2
- VRAIHTAYLFXSJJ-UHFFFAOYSA-N alumane Chemical compound [AlH3].[AlH3] VRAIHTAYLFXSJJ-UHFFFAOYSA-N 0.000 description 2
- 238000000137 annealing Methods 0.000 description 2
- 229910052787 antimony Inorganic materials 0.000 description 2
- WATWJIUSRGPENY-UHFFFAOYSA-N antimony atom Chemical compound [Sb] WATWJIUSRGPENY-UHFFFAOYSA-N 0.000 description 2
- 150000001540 azides Chemical class 0.000 description 2
- UORVGPXVDQYIDP-UHFFFAOYSA-N borane Chemical compound B UORVGPXVDQYIDP-UHFFFAOYSA-N 0.000 description 2
- 229910052796 boron Inorganic materials 0.000 description 2
- 125000000484 butyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])C([H])([H])[H] 0.000 description 2
- 239000005387 chalcogenide glass Substances 0.000 description 2
- 150000004770 chalcogenides Chemical class 0.000 description 2
- 230000007812 deficiency Effects 0.000 description 2
- 239000012530 fluid Substances 0.000 description 2
- 238000011065 in-situ storage Methods 0.000 description 2
- 229910052738 indium Inorganic materials 0.000 description 2
- APFVFJFRJDLVQX-UHFFFAOYSA-N indium atom Chemical compound [In] APFVFJFRJDLVQX-UHFFFAOYSA-N 0.000 description 2
- 230000007246 mechanism Effects 0.000 description 2
- 230000000737 periodic effect Effects 0.000 description 2
- 230000009257 reactivity Effects 0.000 description 2
- OQRNKLRIQBVZHK-UHFFFAOYSA-N selanylideneantimony Chemical compound [Sb]=[Se] OQRNKLRIQBVZHK-UHFFFAOYSA-N 0.000 description 2
- 229910000077 silane Inorganic materials 0.000 description 2
- VEALVRVVWBQVSL-UHFFFAOYSA-N strontium titanate Chemical compound [Sr+2].[O-][Ti]([O-])=O VEALVRVVWBQVSL-UHFFFAOYSA-N 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- DDJAGKOCVFYQOV-UHFFFAOYSA-N tellanylideneantimony Chemical compound [Te]=[Sb] DDJAGKOCVFYQOV-UHFFFAOYSA-N 0.000 description 2
- 238000001947 vapour-phase growth Methods 0.000 description 2
- 238000009736 wetting Methods 0.000 description 2
- ZCYVEMRRCGMTRW-UHFFFAOYSA-N 7553-56-2 Chemical compound [I] ZCYVEMRRCGMTRW-UHFFFAOYSA-N 0.000 description 1
- 229910000838 Al alloy Inorganic materials 0.000 description 1
- JBRZTFJDHDCESZ-UHFFFAOYSA-N AsGa Chemical compound [As]#[Ga] JBRZTFJDHDCESZ-UHFFFAOYSA-N 0.000 description 1
- WKBOTKDWSSQWDR-UHFFFAOYSA-N Bromine atom Chemical compound [Br] WKBOTKDWSSQWDR-UHFFFAOYSA-N 0.000 description 1
- VEXZGXHMUGYJMC-UHFFFAOYSA-M Chloride anion Chemical compound [Cl-] VEXZGXHMUGYJMC-UHFFFAOYSA-M 0.000 description 1
- 239000005046 Chlorosilane Substances 0.000 description 1
- QPLDLSVMHZLSFG-UHFFFAOYSA-N Copper oxide Chemical compound [Cu]=O QPLDLSVMHZLSFG-UHFFFAOYSA-N 0.000 description 1
- 239000005751 Copper oxide Substances 0.000 description 1
- 229910001218 Gallium arsenide Inorganic materials 0.000 description 1
- 229910000618 GeSbTe Inorganic materials 0.000 description 1
- 229910000577 Silicon-germanium Inorganic materials 0.000 description 1
- 229910001069 Ti alloy Inorganic materials 0.000 description 1
- 229910001080 W alloy Inorganic materials 0.000 description 1
- SCZZGVQQIXBCTC-UHFFFAOYSA-N [Sb].[Se].[Ge] Chemical compound [Sb].[Se].[Ge] SCZZGVQQIXBCTC-UHFFFAOYSA-N 0.000 description 1
- GWCOUPKFHSJDIR-UHFFFAOYSA-N [Se].[Sb].[In].[Ag] Chemical compound [Se].[Sb].[In].[Ag] GWCOUPKFHSJDIR-UHFFFAOYSA-N 0.000 description 1
- LEVVHYCKPQWKOP-UHFFFAOYSA-N [Si].[Ge] Chemical compound [Si].[Ge] LEVVHYCKPQWKOP-UHFFFAOYSA-N 0.000 description 1
- UGACIEPFGXRWCH-UHFFFAOYSA-N [Si].[Ti] Chemical compound [Si].[Ti] UGACIEPFGXRWCH-UHFFFAOYSA-N 0.000 description 1
- 239000012790 adhesive layer Substances 0.000 description 1
- SMZOGRDCAXLAAR-UHFFFAOYSA-N aluminium isopropoxide Chemical compound [Al+3].CC(C)[O-].CC(C)[O-].CC(C)[O-] SMZOGRDCAXLAAR-UHFFFAOYSA-N 0.000 description 1
- 239000002585 base Substances 0.000 description 1
- 230000008901 benefit Effects 0.000 description 1
- 229910000085 borane Inorganic materials 0.000 description 1
- GDTBXPJZTBHREO-UHFFFAOYSA-N bromine Substances BrBr GDTBXPJZTBHREO-UHFFFAOYSA-N 0.000 description 1
- 229910052794 bromium Inorganic materials 0.000 description 1
- SFSUYFSIKDDLOL-UHFFFAOYSA-N butan-2-ylhydrazine Chemical compound CCC(C)NN SFSUYFSIKDDLOL-UHFFFAOYSA-N 0.000 description 1
- 239000003638 chemical reducing agent Substances 0.000 description 1
- SURLGNKAQXKNSP-DBLYXWCISA-N chlorin Chemical compound C\1=C/2\N/C(=C\C3=N/C(=C\C=4NC(/C=C\5/C=CC/1=N/5)=CC=4)/C=C3)/CC\2 SURLGNKAQXKNSP-DBLYXWCISA-N 0.000 description 1
- LKRBKNPREDAJJQ-UHFFFAOYSA-M chloro-di(propan-2-yl)alumane Chemical compound [Cl-].CC(C)[Al+]C(C)C LKRBKNPREDAJJQ-UHFFFAOYSA-M 0.000 description 1
- KOPOQZFJUQMUML-UHFFFAOYSA-N chlorosilane Chemical compound Cl[SiH3] KOPOQZFJUQMUML-UHFFFAOYSA-N 0.000 description 1
- 229910000431 copper oxide Inorganic materials 0.000 description 1
- 229910021419 crystalline silicon Inorganic materials 0.000 description 1
- 230000001934 delay Effects 0.000 description 1
- CDHICTNQMQYRSM-UHFFFAOYSA-N di(propan-2-yl)alumane Chemical compound CC(C)[AlH]C(C)C CDHICTNQMQYRSM-UHFFFAOYSA-N 0.000 description 1
- ZOCHARZZJNPSEU-UHFFFAOYSA-N diboron Chemical compound B#B ZOCHARZZJNPSEU-UHFFFAOYSA-N 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- 239000003989 dielectric material Substances 0.000 description 1
- YNLAOSYQHBDIKW-UHFFFAOYSA-M diethylaluminium chloride Chemical compound CC[Al](Cl)CC YNLAOSYQHBDIKW-UHFFFAOYSA-M 0.000 description 1
- JGHYBJVUQGTEEB-UHFFFAOYSA-M dimethylalumanylium;chloride Chemical compound C[Al](C)Cl JGHYBJVUQGTEEB-UHFFFAOYSA-M 0.000 description 1
- ORVACBDINATSAR-UHFFFAOYSA-N dimethylaluminum Chemical compound C[Al]C ORVACBDINATSAR-UHFFFAOYSA-N 0.000 description 1
- UBHZUDXTHNMNLD-UHFFFAOYSA-N dimethylsilane Chemical compound C[SiH2]C UBHZUDXTHNMNLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000005530 etching Methods 0.000 description 1
- UCSVJZQSZZAKLD-UHFFFAOYSA-N ethyl azide Chemical compound CCN=[N+]=[N-] UCSVJZQSZZAKLD-UHFFFAOYSA-N 0.000 description 1
- 125000001495 ethyl group Chemical group [H]C([H])([H])C([H])([H])* 0.000 description 1
- KCWYOFZQRFCIIE-UHFFFAOYSA-N ethylsilane Chemical compound CC[SiH3] KCWYOFZQRFCIIE-UHFFFAOYSA-N 0.000 description 1
- 238000002474 experimental method Methods 0.000 description 1
- 238000005755 formation reaction Methods 0.000 description 1
- 239000011521 glass Substances 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- WPYVAWXEWQSOGY-UHFFFAOYSA-N indium antimonide Chemical compound [Sb]#[In] WPYVAWXEWQSOGY-UHFFFAOYSA-N 0.000 description 1
- YZASAXHKAQYPEH-UHFFFAOYSA-N indium silver Chemical compound [Ag].[In] YZASAXHKAQYPEH-UHFFFAOYSA-N 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 229910052740 iodine Inorganic materials 0.000 description 1
- 239000011630 iodine Substances 0.000 description 1
- 125000001449 isopropyl group Chemical group [H]C([H])([H])C([H])(*)C([H])([H])[H] 0.000 description 1
- 229910001092 metal group alloy Inorganic materials 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 125000002496 methyl group Chemical group [H]C([H])([H])* 0.000 description 1
- UIUXUFNYAYAMOE-UHFFFAOYSA-N methylsilane Chemical compound [SiH3]C UIUXUFNYAYAMOE-UHFFFAOYSA-N 0.000 description 1
- HDZGCSFEDULWCS-UHFFFAOYSA-N monomethylhydrazine Chemical compound CNN HDZGCSFEDULWCS-UHFFFAOYSA-N 0.000 description 1
- 125000000740 n-pentyl group Chemical group [H]C([H])([H])C([H])([H])C([H])([H])C([H])([H])C([H])([H])* 0.000 description 1
- 238000005121 nitriding Methods 0.000 description 1
- 150000002902 organometallic compounds Chemical class 0.000 description 1
- 230000002093 peripheral effect Effects 0.000 description 1
- 239000012782 phase change material Substances 0.000 description 1
- HKOOXMFOFWEVGF-UHFFFAOYSA-N phenylhydrazine Chemical compound NNC1=CC=CC=C1 HKOOXMFOFWEVGF-UHFFFAOYSA-N 0.000 description 1
- 229940067157 phenylhydrazine Drugs 0.000 description 1
- 238000003672 processing method Methods 0.000 description 1
- 125000001436 propyl group Chemical group [H]C([*])([H])C([H])([H])C([H])([H])[H] 0.000 description 1
- LVTJOONKWUXEFR-FZRMHRINSA-N protoneodioscin Natural products O(C[C@@H](CC[C@]1(O)[C@H](C)[C@@H]2[C@]3(C)[C@H]([C@H]4[C@@H]([C@]5(C)C(=CC4)C[C@@H](O[C@@H]4[C@H](O[C@H]6[C@@H](O)[C@@H](O)[C@@H](O)[C@H](C)O6)[C@@H](O)[C@H](O[C@H]6[C@@H](O)[C@@H](O)[C@@H](O)[C@H](C)O6)[C@H](CO)O4)CC5)CC3)C[C@@H]2O1)C)[C@H]1[C@H](O)[C@H](O)[C@H](O)[C@@H](CO)O1 LVTJOONKWUXEFR-FZRMHRINSA-N 0.000 description 1
- 238000000746 purification Methods 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- NMHFBDQVKIZULJ-UHFFFAOYSA-N selanylideneindium Chemical compound [In]=[Se] NMHFBDQVKIZULJ-UHFFFAOYSA-N 0.000 description 1
- 229910052711 selenium Inorganic materials 0.000 description 1
- 239000011669 selenium Substances 0.000 description 1
- 229910021332 silicide Inorganic materials 0.000 description 1
- FVBUAEGBCNSCDD-UHFFFAOYSA-N silicide(4-) Chemical compound [Si-4] FVBUAEGBCNSCDD-UHFFFAOYSA-N 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000002356 single layer Substances 0.000 description 1
- 238000001179 sorption measurement Methods 0.000 description 1
- 229910052715 tantalum Inorganic materials 0.000 description 1
- GUVRBAGPIYLISA-UHFFFAOYSA-N tantalum atom Chemical compound [Ta] GUVRBAGPIYLISA-UHFFFAOYSA-N 0.000 description 1
- MZLGASXMSKOWSE-UHFFFAOYSA-N tantalum nitride Chemical compound [Ta]#N MZLGASXMSKOWSE-UHFFFAOYSA-N 0.000 description 1
- 229910052714 tellurium Inorganic materials 0.000 description 1
- PORWMNRCUJJQNO-UHFFFAOYSA-N tellurium atom Chemical compound [Te] PORWMNRCUJJQNO-UHFFFAOYSA-N 0.000 description 1
- SQBBHCOIQXKPHL-UHFFFAOYSA-N tributylalumane Chemical compound CCCC[Al](CCCC)CCCC SQBBHCOIQXKPHL-UHFFFAOYSA-N 0.000 description 1
- LXEXBJXDGVGRAR-UHFFFAOYSA-N trichloro(trichlorosilyl)silane Chemical compound Cl[Si](Cl)(Cl)[Si](Cl)(Cl)Cl LXEXBJXDGVGRAR-UHFFFAOYSA-N 0.000 description 1
- VOITXYVAKOUIBA-UHFFFAOYSA-N triethylaluminium Chemical compound CC[Al](CC)CC VOITXYVAKOUIBA-UHFFFAOYSA-N 0.000 description 1
- LALRXNPLTWZJIJ-UHFFFAOYSA-N triethylborane Chemical compound CCB(CC)CC LALRXNPLTWZJIJ-UHFFFAOYSA-N 0.000 description 1
- MDDPTCUZZASZIQ-UHFFFAOYSA-N tris[(2-methylpropan-2-yl)oxy]alumane Chemical compound [Al+3].CC(C)(C)[O-].CC(C)(C)[O-].CC(C)(C)[O-] MDDPTCUZZASZIQ-UHFFFAOYSA-N 0.000 description 1
- VEDJZFSRVVQBIL-UHFFFAOYSA-N trisilane Chemical compound [SiH3][SiH2][SiH3] VEDJZFSRVVQBIL-UHFFFAOYSA-N 0.000 description 1
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45531—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/22—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
- C23C16/30—Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
- C23C16/34—Nitrides
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/455—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
- C23C16/45523—Pulsed gas flow or change of composition over time
- C23C16/45525—Atomic layer deposition [ALD]
- C23C16/45527—Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
- C23C16/45536—Use of plasma, radiation or electromagnetic fields
- C23C16/45542—Plasma being used non-continuously during the ALD reactions
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/28008—Making conductor-insulator-semiconductor electrodes
- H01L21/28017—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
- H01L21/28026—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
- H01L21/28088—Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/28—Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
- H01L21/283—Deposition of conductive or insulating materials for electrodes conducting electric current
- H01L21/285—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
- H01L21/28506—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
- H01L21/28512—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
- H01L21/28556—Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
- H01L21/28562—Selective deposition
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76843—Barrier, adhesion or liner layers formed in openings in a dielectric
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76838—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
- H01L21/76841—Barrier, adhesion or liner layers
- H01L21/76871—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
- H01L21/76873—Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D1/00—Resistors, capacitors or inductors
- H10D1/60—Capacitors
- H10D1/68—Capacitors having no potential barriers
- H10D1/692—Electrodes
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/667—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes the conductor comprising a layer of alloy material, compound material or organic material contacting the insulator, e.g. TiN workfunction layers
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/01—Manufacture or treatment
- H10B12/02—Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
- H10B12/03—Making the capacitor or connections thereto
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B12/00—Dynamic random access memory [DRAM] devices
- H10B12/01—Manufacture or treatment
- H10B12/02—Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
- H10B12/05—Making the transistor
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10D—INORGANIC ELECTRIC SEMICONDUCTOR DEVICES
- H10D64/00—Electrodes of devices having potential barriers
- H10D64/60—Electrodes characterised by their materials
- H10D64/66—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes
- H10D64/68—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator
- H10D64/691—Electrodes having a conductor capacitively coupled to a semiconductor by an insulator, e.g. MIS electrodes characterised by the insulator, e.g. by the gate insulator comprising metallic compounds, e.g. metal oxides or metal silicates
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/011—Manufacture or treatment of multistable switching devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/20—Multistable switching devices, e.g. memristors
- H10N70/231—Multistable switching devices, e.g. memristors based on solid-state phase change, e.g. between amorphous and crystalline phases, Ovshinsky effect
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/821—Device geometry
- H10N70/826—Device geometry adapted for essentially vertical current flow, e.g. sandwich or pillar type devices
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/881—Switching materials
- H10N70/882—Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
- H10N70/8825—Selenides, e.g. GeSe
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N—ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10N70/00—Solid-state devices having no potential barriers, and specially adapted for rectifying, amplifying, oscillating or switching
- H10N70/801—Constructional details of multistable switching devices
- H10N70/881—Switching materials
- H10N70/882—Compounds of sulfur, selenium or tellurium, e.g. chalcogenides
- H10N70/8828—Tellurides, e.g. GeSbTe
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Metallurgy (AREA)
- Organic Chemistry (AREA)
- Composite Materials (AREA)
- Inorganic Chemistry (AREA)
- Electromagnetism (AREA)
- Plasma & Fusion (AREA)
- Chemical Vapour Deposition (AREA)
- Electrodes Of Semiconductors (AREA)
- Semiconductor Memories (AREA)
Abstract
基板表面に3元化合物である窒化チタンアルミニウム材料を形成する方法に関し、プラズマ強化原子層堆積プロセスを用いて、基板をチタン前駆体ガスおよび窒素プラズマに暴露し、基板上に窒化チタンを形成し、前記窒化チタン層をプラズマに暴露して処理し、前記窒化チタン層上にアルミナ前駆体ガスを暴露して、気相堆積プロセスによってアルミニウム層を堆積し、前記プラズマ強化原子層堆積プロセスと、処理プロセスと、気相堆積プロセスと、を繰り返して、窒化チタン層とアルミニウム層とから窒化チタンアルミニウム層を形成することを特徴とする。
Description
本発明の実施の形態は、材料の堆積法、特に、三元化合物を含む材料を形成する堆積プロセスに関する。
半導体製造工程、平面パネル製造工程、あるいはその他の電子デバイスの製造工程において、気相堆積プロセスは基板上への材料の堆積において重要な役割を有する。電子デバイスの幾何学的形状は小形化を続け、デバイスの密度は増加を続けている。素子のサイズとアスペクト比はより劇的に進歩し、現在、例えば、素子サイズは0.07μm、アスペクト比は10以上である。従って、これらデバイス製造のための材料の共形堆積法は、ますます重要となっている。
従来の化学的気相堆積法(CVD)は、0.15μmまでの幾何学的形状およびアスペクト比のデバイスには有効であることが証明されたが、より精細な新デバイスの幾何形状は、これに代わる堆積法が要請される。注目を集めている方法は、原子層堆積法(ALD)である。従来のALDプロセスにおいて、反応剤は、次々に、基板を含むプロセスチャンバ中に導入される。
熱的誘導によるALDは最も一般的なALDプロセスであり、2種の反応剤間の化学反応を誘起するために熱を用いる。熱的なALDプロセスは、ある種の材料の堆積には有用であるが、この方法は堆積速度が遅い。従って、製造の生産性を許容できない程度に下げてしまう影響を与える。より高温とすれば堆積速度は増加できるが、多くの化学的前駆体、特に金属有機化合物がその高温で分解してしまう。
プラズマ強化ALD(PE−ALDF)プロセスは既知の技術である。従来のPE−ALDの方法の例において、ある材料は熱的ALDプロセスと同じ前駆体によって形成でき、堆積速度は速く温度は低い。この技法の幾つかの変形法が存在するが、一般的に、PE−ALDプロセスは、反応剤のガスと反応プラズマが次々に、基板を含む反応容器に導入される。
プラズマ中では反応剤ラジカルの反応性が極めて高いことから、PE−ALDは熱的なALDの幾つかの欠点を克服できるが、PE−ALDは多くの限界がある。例えば、PE−ALDプロセスは、基板をプラズマ損傷を引き起こす(例えば、エッチング)可能性もあるし、ある種の化学的な前駆体には適合できず、付加的な設備を必要することもある。
従って、気相堆積によって基板上に材料を形成または堆積させる方法、例えば、PE−ALDといったプラズマ強化技術が必要とされている。
本発明の実施の形態は、原子層堆積(ALD)、プラズマ強化ALD(PE−ALD)あるいは、プラズマ強化CVD(PE−CVD)といった気相堆積法によって基板上に窒化チタンあるいは窒化チタンアルミニウム材料を堆積させる方法を提供している。プロセスチャンバは、気相堆積プロセスにおいて、基板をガスおよび/またはプラズマに順次暴露できる形状とされている。一実施例においては、基板表面にチタン材料を形成する方法が提供されている。その方法は、チタン前駆体ガスおよび窒素前駆体ガス(例えば、プラズマまたはガス)に順に基板を暴露し、その上に窒化チタン層を形成し、窒化チタン層をプラズマに暴露して処理し、窒化チタン層をアルミニウム前駆体に暴露して、窒化チタン層上にアルミニウム層を堆積させ、その後、基板を加熱して、窒化チタン層とアルミニウム層から窒化チタンアルミニウム材料を形成させる。
その他の実施例は、基板表面にチタン材料を形成する方法が提供される。この方法は、基板をチタン前駆体ガスと窒素前駆体ガス(例えば、プラズマまたはガス)を順に暴露し、その間に第1の窒化チタン層を形成し、第1の窒化チタン膜をプラズマに暴露する処理を行い、その後、第1窒化チタン層にアルミニウム前駆体を暴露して、第1窒化チタン層上に第1アルミニウム層を堆積させる。この方法は、さらに、基板をチタン前駆体ガスと窒素前駆体ガスに暴露し、その間に第1のアルミニウム層上に第2の窒化チタン層を形成し、第2の窒化チタン層をプラズマに暴露する処理を行い、第2の窒化チタン層上にアルミニウム前駆体を供給して、第2アルミニウム層を堆積させる。窒化チタン層の堆積、プラズマ処理、およびアルミニウム層の堆積は多数回繰り返されて複数の層が形成される。ついで、基板は加熱またはアニールされて、多数層から窒化チタンアルミニウム材料が形成される。ある実施の形態には、窒化チタンの堆積と処理、およびその上へのアルミニウム層の堆積のサイクルには、次の窒化チタン層の形成前に、それぞれのアルミニウム層の処理(例えば、不活性ガスプラズマまたは窒素プラズマ)を加えてもよい。
その他の実施の形態において、基板表面へのチタン材料の形成方法が提供されている。その方法は、基板上にPE−ALDプロセスにより窒化チタン層を形成し、窒化チタン層をプラズマに暴露する処理を行い、そして、気相堆積プロセスによって、窒化チタン層をアルミニウム前駆体に暴露してアルミニウム層を堆積させる。この方法は、さらに、PE−ALDプロセスによる窒化チタン膜の形成、そのプラズマ処理、気相堆積によるアルミニウム膜の形成を繰り返し、多数の窒化チタン層とアルミニウム層を形成する。その他の実施例において、この方法は、さらに、アルミニウム層をさらに、不活性ガスプラズマまたは窒素ガスプラズマに暴露する処理を行い、その後、順次PE−ALDプロセス、処理プロセス、気相堆積プロセス、プラズマ処理プロセスによって、多数の窒化チタン層とアルミニウム層から窒化チタンアルミニウム材料を形成することからなる。
その他の実施例において、窒化チタンアルミニウム材料を形成するその他の方法は、チタン前駆体とアルミニウム前駆体を含む析出ガスに基板を暴露して、その間に基板上に吸収層を形成し、吸収層を窒素プラズマ暴露して、その間に基板上に窒化チタンアルミニウム層を形成する。そして、順次堆積ガスの供給と窒素プラズマの暴露を繰り返し、多数の窒化チタンアルミニウム層を基板上に形成する。
ある実施例においては、チタンの前駆体ガスは、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジメチルアミノ)チタン(TDEAT)、テトラキス(メチルエチルアミノ)チタン(TEMAT)、4塩化チタン、あるいはそれらの誘導体を含むことができる。ある実施の形態においては、アルミニウム前駆体ガスは、トリス(タートブチル)アルミニウム(TTBA)、トリメチルアルミニウム(TMA)、塩化アルミニウム、およびそれらの誘導体といったチタン前駆体を含む。ある実施例では、アルミニウム前駆体ガスは、トリス(タートブチル)アルミニウム(TTBA)、トリメチルアルミニウム(TMA)、塩化アルミニウム、およびその誘導体を含むアルミニウム前駆体を含む。ある例では、チタニウム前駆体はTDMATであり、アルミニウム前駆体はTTBAである。ある実施の形態において、窒素プラズマが堆積プロセスまたは処理プロセスにおいて用いられる。窒素プラズマは、窒素、アンモニア、水素、アルゴン、およびその誘導体、あるいはそれらの混合ガスから形成される。窒素プラズマは、遠隔プラズマシステム(RPS)によって、プロセス用チャンバの外側で形成または点火されるか、あるいは、その場のプラズマシステムによってチャンバ内で形成される。ある実施例において、チタン材料は、チタン前駆体としてTDMAを、アルミニウム前駆体としてTTBAを含む材料を用いて、PE−ALDによって基板上に形成または堆積される。窒化チタンアルミニウム材料は、アルミニウム濃度が2原子%から40原子%、望ましくは5原子%から33原子%である。
その他の実施の形態において、窒化チタンアルミニウム材料は基板上の金属ゲート層である。窒化チタンアルミニウムを含む金属ゲート層は、厚さが10Åから約100Åの範囲にあり、望ましい厚さは、20Åから約80Åであることが望ましく、さらに、30Åから約40Åがより好ましい。その他の実施例においては、窒化チタンアルミニウム材料は基板上のバリア層である。バリア層は、厚さが5Åから50Åの範囲にあり、15Åから30Åの範囲で、約20Åの厚さが望ましい。ある実施の形態では、窒化チタンアルミニウム材料を含むバリア層上に、例えば、シード層あるいはバルク層をなす金属含有層が配置される。金属含有層は、銅、コバルト、ルテニウム、タングステン、パラジウム、アルミニウム、あるいはそれらの合金、あるいは、それらの組み合わせからなる。その他の実施の形態においては、窒化チタンアルミニウム材料はキャパシタ内の層として用いられる。窒化チタンアルミニウムのキャパシタ層は、厚さが50Åから約500Åの範囲、望ましくは、100Åから約200Åの範囲の、例えば、150Åの厚さを有する。
その他の実施例において、窒化チタン層は、PE−ALDプロセスによって、遠隔窒素プラズマとTDMATを基板に供給することによって得られる。その他の実施例において、窒化チタンアルミニウム材料は、PE−ALDプロセスの間、遠隔プラズマ、TDMAT、およびTTBAを順次基板に供給することによって形成される。この方法は、適切な抵抗を得ること、高いアスペクト比の通路やトレンチの側壁を均一に処理するために利用することができる。チタンの前駆体としてTDMATを用いるここに記載のプロセスは、塩素を不純物として含まない、あるいは、トレース量の塩素しか含まない窒化チタン材料および窒化チタンアルミニウム材料を形成する。TDMAおよび/または、TTBAを前駆体として用いるここに記載の方法によれば、炭素不純物を含まない、または、炭素含有量の極めて低い(5原子%またはそれ以下)あるいは、用途によっては、大量の炭素濃度を含む(5原子%以上)窒化チタンアルミニウム材料を形成する。ある実施例では、窒化チタンアルミニウムは、炭素不純物の量は5重量%またはそれ以下、約3重量%またはそれ以下、望ましくは2原子%以下、より望ましくは1原子%以下、さらに、望ましくは、1原子%以下が望まれる。その他の実施例では、窒化チタンアルミニウム材料は、15原子%以下の炭素不純物、10原子%以下の炭素不純物、または約5原子%の炭素不純物含有量が許容される。
その他の例では、基板またはヒータが、その製品のアスペクト比によって340℃から370℃の温度範囲に加熱される。プラズマプロセスの間、チャンバの圧力は、500mTorrから2Torrの範囲とされ、プラズマの出力は、4kWから10kWの範囲とされる。窒素ガスは、約200sccmから2,000sccmの流量で流す。
その他の実施の形態において、ここに記載の窒化チタンアルミニウム材料は、ダイナミックランダムアクセスメモリ(DRAM)キャパシタを形成するために用いられる。ある例では、DRAMキャパシタは、埋め込みワード線(bWL)DRAMまたは埋め込みビット線(bBL)DRAMである。DRAMキャパシタは、窒化チタンアルミニウム材料を含みコンタクト面上に配置された底面電極と、底面電極上に配置された高−k酸化物層と、窒化チタンアルミニウムを含み高−k酸化物層上に配置された上面電極とからなる。コンタクト表面は、例えば、チタン、タングステン、銅、コバルト、ルテニウム、ニッケル、白金、アルミニウム、銀、ポリシリコン、ドープされたポリシリコン、それらの誘導体、それらの合金、およびそれらの組み合わせた金属またはその他の導電体を含む。高−k材料を含む高−k酸化物層は、酸化ハフニウム、珪酸ハフニウム、珪酸ハフニウムアルミニウム、酸化ジルコニウム、酸化チタンストロンチウム、チタン酸バリウムストロンチウム、それらの誘導体、それらの珪酸塩、それらのアルミン酸塩、およびそれらの組み合わせである。底面電極、高−k酸化物層、表面電極は、基板上に配置された酸化物材料内に形成されたトレンチ内に形成される。また、窒化チタンアルミニウム材料を含有する底面電極と上面電極の厚さは、独立に、25Åから500Åの範囲、50Åから200Åの範囲が望ましく、または100Åから200Åの範囲にあることがより望ましい。
本発明の実施の形態は、原子層堆積(ALD)、プラズマ強化ALD(PE−ALD)、化学気相堆積(CVD)あるいは、プラズマ強化CVD(PE−CVD)といった気相堆積プロセスによって、窒化チタンと窒化チタンアルミニウム材料を基板上に堆積する方法を提供する。このプロセスに用いられるプロセスチャンバは、気相成長プロセスの間、一連のガスおよび/またはプラズマを基板上に暴露できるように構成されている。ある実施の形態によれば、このプロセスは、窒化チタンアルミニウム、窒化チタン、窒化チタンシリコン、金属チタン、その誘導体などを含むチタン系材料を形成する中で、反応開始の遅れは僅かまたはなく迅速な堆積速度が維持される。以下に示す実施の形態において、ALDまたはPE−ALDプロセスは、チタンの前駆体、アルミニウムの前駆体、窒素ガス前駆体および/または窒素プラズマ、不活性ガスプラズマ、その他の反応剤またはそれらの組み合わせといった、種々の堆積用ガスあるいは化学的前駆体または反応剤を含むプラズマに順次基板を暴露するプロセスを有する。
一つの実施の形態において、窒化チタンアルミニウムは基板上に次のように形成される。すなわち、基板をチタンの前駆体ガスおよび窒素前駆体(例えば、プラズマまたはガス)に順に暴露し、基板上に窒化チタン層を形成し、その窒化チタン層を処理プロセスにおいてプラズマに暴露し、次いで、窒化チタン層上にアルミニウム前駆体ガスを供給し、アルミニウム層を堆積する。次いで、窒化チタン層とアルミニウム層を形成した基板を加熱して窒化チタンアルミニウム材料を形成する。
その他の実施の形態において、窒化チタンアルミニウム材料は、基板上に次のように形成される。すなわち、基板をチタンの前駆体ガスと窒素プラズマ又は窒素前駆体ガスに暴露し、基板上に窒化チタンを形成する。第1の処理プロセスにおいて、窒化チタン層を第1のプラズマ(例えば、窒素プラズマ)に暴露する。ついで、窒化チタン層をアルミニウム前駆体に暴露し、窒化チタン層上にアルミニウム層を形成する。次いで、第2の処理プロセスにおいて、第2のプラズマ(例えば、窒素プラズマ)を前記アルミニウム層上に暴露する。その後、基板を加熱して、窒化チタン層とアルミニウム層から窒化チタンアルミニウム層を形成する。第1と第2のプラズマは、独立に不活性プラズマあるいは窒素プラズマとする。幾つかの例では、窒素プラズマは、アンモニアまたは窒素を含むガスから作られる。
その他の実施の形態において、基板表面にチタン系材料を形成する方法が提供する。その方法は、基板をチタン前駆体と窒素前駆体(例えば、プラズマまたはガス)に暴露して第1の窒化チタンを形成し、得られた第1の窒化チタンを処理プロセスにおいてプラズマに暴露する。そして、第1の窒化チタンをアルミニウム前駆体に暴露して第1のアルミニウム層を形成する。続いて、前記第1のアルミニウム層の上に、チタン前駆体と窒素前駆体を順に供給し、第2の窒化チタン層を形成する。第2の窒化チタンを処理プロセスにおいてプラズマに暴露し、第2の窒化チタン層をアルミニウム前駆体に暴露して、第2のアルミニウム層を形成する。窒化チタンの堆積、処理、アルミニウム層の形成のサイクルを多数回繰り返して多数の層を形成する。ついで、基板は加熱するか、あるいはアニールして、多数の層から窒化チタンアルミニウムを形成する。ある実施の形態において、窒化チタンの形成と処理、さらにその上へのアルミニウム層の形成のサイクルには、次の窒化チタンを形成する前に、アルミニウム層の(例えば、不活性ガスプラズマまたは窒素プラズマによる)処理を加えても良い。
その他の実施の形態において、基板表面にチタン材料を形成する方法が提供されている。その方法は、基板表面に、PE−ALDプロセスによって窒化チタン層を形成し、その窒化チタン層を処理プロセスにおいてプラズマに暴露し、窒化チタン層にアルミニウム前駆体ガスを暴露して、その上に、気相堆積プロセスによって、窒化チタン層上にアルミニウム層を堆積する。そして、この方法は、さらに、PE−ALDプロセス、処理プロセス、気相成長プロセスを繰り返すことによって、多数の窒化チタン層とアルミニウム層から窒化チタンアルミニウム材料を形成する。その他の実施例では、この方法は、さらに、処理プロセスにおいて、アルミニウム層を不活性ガスプラズマまたは窒素プラズマに暴露することを加え、PE−ALDプロセス、処理プロセス、気相成長プロセス、プラズマ処理プロセスを繰り返して、多数の窒化チタン及びアルミニウム層から窒化チタンアルミニウムを形成する。
その他の実施の形態において、窒化チタンアルミニウム材料形成方法ガ提供されている。その方法は、チタン前駆体及びアルミニウム前駆体を含む堆積ガスを基板に暴露し、その間に基板上に吸収層を形成し、ついで、この吸収層を窒素プラズマに暴露し、その間に窒化チタンアルミニウム層を形成する。そして、堆積ガスの供給と窒素プラズマへの暴露を繰り返して、多数の窒化チタンアルミニウム層を基板上に形成する。
その他の実施の形態において、窒化チタンアルミニウム材料の形成方法は、チタン前駆体とアルミニウム前駆体を含む堆積ガスから、気相堆積プロセスによって基板上にチタンアルミニウム層を形成し、得られたチタンアルミニウム層を、窒化プロセスにおいて、窒素プラズマに暴露する。この方法は、さらに、順次堆積サイクルを繰り返して、多数の窒化チタンアルミニウム層を形成する。なお、堆積サイクルに、チタンアルミニウム層および/または窒化チタンアルミニウム層を、不活性ガスプラズマなどのプラズマに暴露するという任意の処理プロセスを加えてもよい。
ある実施の形態において、チタン前駆体ガスは、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジエチルアミノ)チタン(TDEAT)、テトラキス(メチルエチルアミノ)チタン(TEMAT)4塩化チタン、あるいは、それらの誘導体といったチタン前駆体を含む。ある実施の形態において、アルミニウム前駆体ガスは、トリス(タートブチル)アルミニウム(TTBA)、トリメチルアルミニウム(TMA)、塩化アルミニウム、及びそれらの誘導体といったアルミニウム前駆体を含む。ある例では、チタン前駆体はTDMATであり、アルミニウムの前駆体はTTBAであり、窒素前駆体として窒素プラズマが用いられる。チタンアルミニウム材料は、2原子%から約40原子%、望ましくは、5原子%から33原子%のアルミ二ウム濃度を含む。
ある実施の形態では、チタンアルミニウム材料は基板上の金属ゲートとなる。窒化チタンアルミニウムを含む金属ゲートの厚さは、約10Åから約100Å、約20Åから約80Åの範囲が望ましく、約30Åから約40Åの範囲がさらに望ましい厚さである。
その他の実施の形態において、窒化チタンアルミニウム材料は基板上のバリア層となる。窒化チタンアルミニウム材料を含むバリア層は、厚さが約5Åから約50Åの範囲にあるが、約15Åから約30Åの範囲、例えば、20Åの厚さが望ましい。ある実施の形態において、シード層やバルク層といった金属含有層は、窒化チタンアルミニウム材料を含むバリア層上に配置される。金属含有層は、銅、コバルト、ルテニウム、タングステン、パラジウム、アルミニウム、それらの合金またはそれらの組み合わせを含むことができる。その他の実施の形態では、窒化チタンアルミニウム材料は、キャパシタ内の一層である場合がある。窒化チタンアルミニウム材料のキャパシタ層は、厚さが約50Åから約500Åの範囲にあるが、約100Åから約200Åの範囲、例えば、150Åの厚さが望ましい。
その他の例において、窒化チタン層は、PE−ALDプロセス中に、基板を遠隔窒素プラズマ及びTDMATに順に暴露して形成することが出来る。その他の例では、窒化チタンアルミニウム材料は、PE―ALDプロセス中に、基板を遠隔窒素プラズマ、TDMAT及びTTBAに順に暴露して形成することができる。この方法は、良好な抵抗を得るための方法、あるいは、高いアスペクト比の通路やトレンチ側壁の均一な処理方法として利用される。ここに述べるチタンの前駆体としてTDMATを用いる方法によって、塩素不純物のない、あるいは塩素不純物が痕跡程度と少なく基本的に塩素を含まない窒化チタンあるいは窒化チタンアルミニウム材料が形成できる。さらに、TDMATあるいはTTBAを前駆体として用いた方法によって、炭素の不純物のない、または炭素濃度の低い(5原子%またはそれ以下)、あるいは、炭素濃度の高い(5原子%以上)窒化チタンアルミニウム材料を形成することができる。ある実施の形態において、窒化チタンアルミニウム材料は、炭素濃度が5原子%以下、望ましくは3原子%以下、さらに望ましくは、2原子%以下、さらに望ましくは1原子%以下、さらに望ましくは0.5原子%またはそれ以下の含有量とすることができる。また、その他の実施の形態において、窒化チタンアルミニウムの炭素含有量を15原子%またはそれ以下、あるいは10原子%以下の、約5原子%とすることができる。
その他の実施の形態において、ここで述べる窒化チタンアルミニウム材料は、ダイナミックランダムアクセスメモリ(DRAM)キャパシタを形成するために用いられることがある。DRAMキャパシタは、コンタクト面上に配置された窒化チタンアルミニウムを含む底面電極、底面電極上に配置された高−k酸化物層、及び窒化チタンアルミニウムを含み、高−k酸化物層上に配置された上面電極からなる。コンタクト面はポリシリコン、ドープされたポリシリコン、あるいは、それらの誘導体を含む。代案として、コンタクト層は、タングステン、銅、アルミニウム、銀、コバルト、ルテニウム、それらの合金、あるいはそれらの誘導体と言った金属を含むこともできる。高−k酸化物層は、ジルコニウム酸化物、ストロンチウムチタン酸化物、チタン酸バリウムストロンチウム、あるいは、それらの誘導体と言った高−k材料を含む。底面電極、高−k酸化物層、及び上面電極は、基板上に配置された酸化物材料の中に形成されたトレンチ内部に配置されている。種々の例の中に、窒化チタンアルミニウム材料を含む底面電極、及び/または窒化チタンアルミニウム材料を含む上面電極は、それぞれ独立に、厚さが、約25Åから約500Åの範囲、望ましくは、約50Åから約200Åの範囲あるいは約100Åから約200Åの範囲にあるとされている。
多くの実施の形態において、チタン材料(例えば、窒化チタンあるいは窒化チタンアルミニウム)を堆積または形成するためのここで述べる気相成長プロセスに用いられるチタンの前駆体は、テトラキス(ジメチルアミノ)チタン(TDMAT)、テトラキス(ジメチルアミノ)チタン(TDEAT)、4塩化チタン(TiCl4)あるいはそれらの誘導体である。本文で述べる気相成長プロセスにおいてチタン材料を堆積または形成するための窒素前駆体は、窒素(例えば、プラズマN2あるいは原子N)、アンモニア(NH3)、ヒドラジン(N2H4)、メチルヒドラジン(Me(H)NNH2あるいはMe(H)NNH(Me))、3級ブチルヒドラジン(tBu(H)NNH2)、フェニルヒドラジン(C6H5(H)NNH2)、窒素プラズマ源(例えば、N、N2、H2/H2、NH3、またはN2H4プラズマ)、2,2―アゾタートブチル(tBuNNtBu)、エチルアジド(EtN3)といったアジド源、トリメチルアジド(Me3SiN3)、それらの誘導体、それらのプラズマ、そしてそれらの組み合わせが含まれる。
ある実施の形態において、本願のように堆積又は形成されたチタン材料は、チタンアルミニウム、窒化チタンアルミニウムのようにアルミニウムを含む。ここでの化学気相成長プロセスに用いられるアルミニウムの前駆体は、RmAlX(3−m)の化学式を有するアルミニウム化合物を含む。ここで、mは0、1、2または3であり、それぞれのRは、独立に、水素メチル、エチル、プロピル、ブチル、アミル、メトキシ、エトキシ、プロポキシ、ブトキシ、ペントキシ、それらのイソマーを示し、Xは、独立に、クロリン、ブロミン、フルオリン、あるいはイオジンを表す。アルミニウム前駆体の例は、トリ(タートブチル)アルミニウム(((CH3)3AlまたはtBu3AlまたはTTBA)、トリ(イソプロピル9アルミニウム(((CH3)2C(H)3AlまたはtPr3Al)、トリエチルアルミニウム((CH3CH2)3AlまたはEt3AlあるいはTEA)、トリメチルアルミニウム((CH)3AlまたはMe3AlまたはTMA)、ジ(トリブチル)アルミニウムハイドライド((CH3C)2AlHまたはtBu2AlH)、ジ(イソプロピル)アルミニウムハイドライド(((CH3)2C(H)2AlHまたはiPr2AH)、ジエチルアルミニウムハイドレート((CH3CH2)2AlHまたはEt2AlH)、ジメチルアルミニウムハイドレート((CH3)2AlHまたはMe2AlH)、塩化ジ(タートブチル)アルミニウム((CH3C)2AlClまたはBu2AlCl)、塩化ジ(イソプロピル)アルミニウム(((CH3)2C(H)2AlClまたはiPrAlCl)、塩化ジエチルアルミニウム((CH3CH2)2AlClまたはEt2AlCl)、塩化ジメチルアルミニウム((CH3)2AlClまたはMe2AlCl)、アルミニウムタートブトキサイド(((CH3)2CO)3AlまたはtBuO3Al、アルミニウムイソプロピロキサイド(((CH3)2C(H)0)3AlまたはiPrO3Al)、アルミニウムトリエトキサイド((CH3CH2O)3AlまたはEtO3Al)、アルミニウムトリメトキサイド((CH3O)3AlまたはMeO3Al)またはそれらの誘導体である。アルミニウム前駆体は、例えば、窒化チタンアルミニウム材料、窒化アルミニウム材料、及びその他のアルミニウム含有層、および本願の堆積方法に
よる材料の形成に用いられる。
よる材料の形成に用いられる。
キャリアガス、パージガス、堆積ガス、及びその他のプロセスガスは、窒素、水素、アンモニア、アルゴン、ネオン、ヘリウムあるいはそれらの組み合わせを含む。プラズマは、ここに記載されるチタン材料の堆積、形成、アニール、処理、あるいは、その他のプロセス処理などに有用である。窒素プラズマあるいは不活性ガスプラズマといった、ここに記載されている各種プラズマは、ガスおよび/またはプラズマ前駆体ガスから発生される。プラズマ前駆体ガスは、窒素、水素、アンモニア、アルゴン、ネオン、ヘリウム、およびそれらの組み合わせが含まれる。ある例では、窒素プラズマは窒素と水素を含む。その他の例では、窒素プラズマは、窒素とアンモニアを含む。その他の例として、窒素プラズマはアンモニアと水素を含む。その他の例として、窒素プラズマは窒素とアンモニアと水素を含む。その他の例において、窒素プラズマは窒素とアンモニアを共に含む。
ある実施の形態では窒化チタン材料は基板上に形成できる。TDMATを含む堆積ガスが、ガス通路を通って噴射孔を通して、PE−ALDチャンバの入り口から中央通路までパルス状に供給される。TDMATを含有する堆積ガス及び窒素プラズマはシャワーヘッドを通して、順にパルス状に供給される。その後、基板を順に堆積ガスと窒素プラズマに暴露し、基板上に窒化チタンが形成される。ある例では、窒化チタン層は、約1Åから約20Å、望ましくは約2Åから約10Å、より望ましくは、約3Åから約7Åの範囲、例えば、約5Åの膜厚を有する。その他の例では、窒化チタン材料、即ち、多数の窒化チタン層あるいは、一層の窒化チタン膜は、約2Åから約300Å、望ましくは約5Åから約200Åの範囲、例えば、約2Åから約20Åの範囲、あるいは約2Åから50Åの範囲の膜厚を有する。
窒化チタン層は、プラズマプロセスあるいは熱アニールといった処理プロセスにさらされる。一例では、窒化チタンは窒素プラズマ(例えば、N2のRPSまたはNH3)にさらされる。その後、窒化チタン層をアルミニウム前駆体ガスに暴露し、窒化チタン層上にアルミニウム層が形成される。アルミニウム前駆体ガスはアルミニウム前駆体を含有し、そして、窒素、アルゴン、水素、ヘリウムあるいはそれの混合ガスといったキャリアガスをも含有されよう。一例では、アルミニウム前駆体ガスは、TTBAとキャリアガス(例えば、Ar)を含む。一例では、アルミニウム層は、プラズマ処理プロセスにおいて、窒素プラズマあるいは不活性ガスプラズマに暴露される。ついで、窒化チタンとアルミニウム層を含む基板は、熱処理、あるいはその他のプラズマ処理、あるいは付加的なあるいは代替の処理プロセスにさらされて、窒化チタンアルミニウム材料/層が形成される。
TDMATを含む堆積ガスがPE―ALDチャンバの入り口から、ガス通路を通して、噴射口からパルスとして供給されチャンバ内部に導かれる。窒素プラズマは、RPSから入り口を通して、チャンバ中央にパルスとして導入される。TDMATを含む堆積ガス及び窒素プラズマは、シャワーヘッドを経由して、順にパルス導入される。その後、基板に、堆積ガスと窒素プラズマが順に供給され、基板上に、窒化チタンアルミニウム層が形成される。
ある例においては、窒化チタンアルミニウムが基板上に形成可能である。TDMATを含む堆積ガスが入り口に、ガス通路を通して種々の穴や出口(図示されていない)を経由して、中央チャンネルにパルスとして導入される。一方、アルミニウム前駆体ガスは、別のガス通路、あるいは別の孔から、中央部にパルス導入される。別の実施の形態において、アルミニウム前駆体ガスは入り口から導入路中央にパルス的に供給される。TDMATを含む堆積ガス及びTTBAを含むアルミニウム前駆体ガス及び窒素プラズマは、シャワーヘッドを通して、パルス的に、連続して導入される。その後、基板は、堆積ガス、アルミニウム前駆体ガス、及び窒素プラズマに順に暴露されて、窒化チタンアルミニウムが基板上に形成される。窒化チタンアルミニウムを形成するプロセスは繰り返されて、複数の窒化チタンアルミニウム層からなる窒化チタンアルミニウム材料が形成される。ある実施の形態では、基板は、約500℃、望ましくは約400℃またはそれ以下からの範囲、例えば、200℃から400℃の範囲、さらに望ましくは、340℃から370℃、例えば、360℃の温度に加熱され、窒化チタンアルミニウム層が形成される。その他の例では、アルミニウム層が窒素プラズマ(例えば、N2−RPS)に暴露され、窒化チタンアルミニウム層または後部窒化チタンアルミニウム層を作成する。
ある実施の形態において、チタン材料(例えば、窒化チタン)は、チタン前駆体とプラズマの順次パルスを用いて、反応剤の定量的な流れを含むPE−ALDプロセスの中で形成される。その他の実施の形態において、チタン材料は、チタン前駆体(例えば、TDMAT)と反応性プラズマ(例えば、窒素プラズマ)の順次パルスを加える別のPE−ALDプロセスによって形成できる。これら両者の実施の形態において、反応剤は、このプロセス中、一般的にイオン化されている。PE−ALDプロセスは、遠隔プラズマ発生装置(RPS)といった堆積チャンバの外側の発生装置でプラズマが発生される方法を用いて行われる。PE―ALDプロセスの間、プラズマはマイクロ波(MW)周波数発生装置あるいは、ラジオ波(RW)周波数発生装置から発生される。その他の例において、チタン前駆体と反応剤の順次パルスを用いる熱的ALDによってチタン材料が形成される場合もある。
その他の実施の形態において、チタン前駆体、アルミニウム前駆体およびプラズマの順次パルスを用いて、反応剤の定常的流れを用いたPE−ALDによって、窒化チタンアルミニウムあるいはその誘導体が合成される場合がある。その他の実施の形態では、窒化チタンアルミニウムが別のPE−ALDプロセスによって形成されることもある。それは、チタン前駆体(例えば、TDMAT)、アルミニウム前駆体(例えば、TTBA)及び反応剤プラズマ(例えば、窒素プラズマ)の順次パルスを用いる場合である。これ両者の実施の形態において、このプロセス中、反応剤はイオン化されている。PE−ALDプロセスにおいて、プラズマは、堆積チャンバの外側の、遠隔プラズマ発生装置(RPS)といったプラズマ発生装置によって発生される。PE−ALDプロセスの間、マイクロ波(MW)周波数発生装置あるいはラジオ波(RW)周波数発生装置によって発生されたプラズマを用いる。その他の実施例において、チタン材料は、チタン前駆体とアルミニウム前駆体と、反応剤の順次パルスを用いた熱的ALDによって形成される場合もある。
それに替わる実施の形態において、基板をチタン前駆体とアルミニウム前駆体とに同時に基板に暴露することによって、基板上に窒化チタンアルミニウム材料を形成することができる。ある実施の形態では、形成方法は、基板をチタン前駆体とアルミニウム前駆体を含む堆積ガスに暴露することによって、その間に基板上に吸収層を形成し、その吸収層を窒素プラズマに暴露して基板上に窒化チタンアルミニウム層を形成し、堆積ガスと窒素プラズマの順次暴露を繰り返し、基板上に複数の窒化チタンアルミニウム層を形成する。ある実施の形態では、処理プロセスにおいて窒化チタンアルミニウム層がガスまたはプラズマに暴露される。ある例では、それぞれの窒化チタンアルミニウム層は、処理プロセスにおいて、窒素プラズマ(例えば、N2、NH3、H2)に暴露される場合もある。その他の例では、それぞれの窒化チタンアルミニウム層を処理プロセスにおいて、不活性ガスプラズマ(例えば、Ar)に暴露する場合もある。
ある例では、チタン前駆体(例えば、TDMAT)とアルミニウム前駆体(例えば、TTBA)単独の堆積ガスとして一緒に流される。そして、その他の例では、チタン前駆体と、アルミニウム前駆体が、それぞれ独立に、そして同時にPE−ALDチャンバ内に供給される場合もある。チタンとアルミニウムの前駆体を含むガスが、PE−ALDチャンバの入り口に、ガス導入路を通して、噴射孔から、パルス的に送られることもある。ある例では、窒素プラズマは、RPSから、チャンバ中心に、入り口からパルス的に送られる。チタン及びアルミニウムの前駆体と窒素プラズマを含む堆積ガスは、シャワーヘッドを通してパルス的に導入される。その後、窒素前駆体が窒素プラズマに点火され、基板が順に、堆積ガスと窒素プラズマに暴露されて、基板上に窒化チタンアルミニウムの複数層が形成される。
その他の例では、窒素前駆体ガスが入り口から内部に順にパルス導入される。チタン及びアルミニウム前駆体と窒素プラズマを含む堆積ガスは、シャワーヘッドを通してパルス導入される。その後、窒素前駆体ガスは点火されて窒素プラズマとなり、基板は堆積ガスと窒素プラズマに順に暴露されて複数の窒化チタンアルミニウム層が形成される
ある実施の形態では、チタン材料はチタン前駆体とプラズマの順次パルスを用いながら、反応剤の連続的な流れを含むPE−ALDプロセスによって形成される。その他の実施の形態では、チタン材料は、チタン前駆体と、反応剤プラズマの順次パルスを用いた、別のPE−ALDプロセスによって形成することもできる。その他の実施の形態では、チタン材料は、チタン前駆体とアルミニウム前駆体を含む堆積ガスを用いて、その堆積ガスと窒素プラズマを順に基板に暴露して形成することもできる。
プラズマは、プロセスチャンバの外側か中側かのいずれかで発生された窒素プラズマあるいは不活性ガスプラズマである。また、PE−ALDプロセスは、遠隔プラズマ発生装置(RPS)によるプロセスチャンバの外側で発生されるか、あるいは、例えば、本来のPE−ALDプロセスチャンバ内で発生されたプラズマが用いられる。多くの例では、窒化チタン層やアルミニウム層、あるいは窒化チタンアルミニウム材料/層は、窒化プロセスや処理プロセスにおいて、窒素プラズマ(例えば、N2、NH3、H2)に暴露される。多くの例では、窒素プラズマは遠隔発生装置(RPS)によって発生され、種々の層に暴露されるが、このプラズマはアンモニアから形成される。
PE−ALDプロセスの間、プラズマはマイクロ波周波数発生装置またはラジオ波周波数発生装置によって発生できる。例えば、プラズマはプロセスチャンバ内によって点火されるか、または、蓋機構によって点火される。一例では、窒素プラズマはRPSによって発生され、プロセスチャンバあるいは堆積チャンバ内に導入あるいは注入され、基板に暴露される。その他の例では、窒素プラズマは、その場で、RF発生器で発生される場合もある。その他の例では、チタン材料または窒化チタンは、金属前駆体と反応剤の順次パルスを用いた熱的ALDプロセスによっても形成することができる。PE−ALLDプロセスにおいて、例えば、プラズマ発生装置は、その出力が、約1キロワット(kW)から約40kWの範囲であり、約2kWから20kWが望ましく、約4kWから10kWがより望ましい。
多くの例において、基板または加熱器は、チタン材料又は窒化チタンを堆積する間、約340℃または370℃の範囲に加熱される。処理または堆積のためのプラズマプロセスの間、チャンバの内圧は、約500mTorrから約2Torrの範囲とされる。そして、プラズマの出力は約4kWから10kWの範囲とされる。窒素ガスの流量は約200sccmから約2,000sccmの範囲とされる。
ある実施の形態において、本願の方法で、チタン材料を堆積又は形成させるために用いられるプラズマ装置及びプロセスチャンバは、カリフォルニア、サンタクララに在住のアプライドマテリアルス社(Applied Material Inc.)で製作されているTXZ(R)CVDチャンバを含む。プラズマ装置並びにプロセスチャンバについては、共同で譲渡され、本願に参照された米国特許第5,846,332号及び第6,079,356号にすべて開示され、その中には、プラズマ発生装置、プラズマチャンバ、ALDチャンバ、基板基台、チャンバの内部装置などがさらに開示されている。その他の実施例において、チタン材料を堆積または形成するために、ここに述べる方法に用いられるPE−ALDプロセスチャンバあるいは装置は、2009年6月30日に出願された共同で譲渡された米国特許出願第12/494,901号に記載されている。この特許は全体に本願に参照して組み込まれる。本願の中に記載の幾つかの実施の形態において用いられるALDプロセスチャンバは、各種の蓋機構を含む。その他のALDプロセスチャンバはここの述べる幾つかの実施の形態において用いられ、そのチャンバは、カリフォルニア州、サンタクララに在住のアプライドマテリアル社(前出)から入手可能である。ALDプロセスチャンバの詳細な説明は、共同で譲渡された米国特許第6,878,206号および6,916,398号、及び共同で譲渡された2002年10月25日出願の米国特許出願第10/281,079に見出される。これらは、全体として本願に参照して組み込まれる。その他の実施の形態において、ALDモード及び従来のCVDモードの両者で作業可能に構成されたチャンバは、共同で譲渡された、2003年11月13日出願の米国特許出願第10/721,690及び米国公開特許第2004−0144341号に記載されている。これら両者の全体は参照して本願に組み込まれる。
ALDプロセスは、プロセスチャンバまたは堆積チャンバの圧力が、約0.01Torrから約10Torrの範囲、好ましくは約0.1Torrから約10Torrの範囲、より好ましくは約0.5Torrから約2Torrの範囲とされて施行される。また、チャンバあるいは基板は、500℃以下、望ましくは400℃以下で約200℃から400℃の範囲、より望ましくは340℃から370℃で、例えば、360℃に加熱される。
基板は、例えば、シリコン基板であり、その上に、1またはそれ以上の誘電材料層によって画成された相互接続されたパターンが描かれている。一例では、基板は、その上に接着層を含み、その他の例では、基板は誘電体面を含む。プロセスチャンバの、例えば、温度や圧力といった条件は、基板上に堆積ガスの吸着を強化し、チタン前駆体および反応剤の反応を容易にするために調整される。
ある実施の形態において、ALDサイクル全体を通して、基板に反応剤を暴露する。基板には、チタン前駆体のアンプルを通して、キャリアガス(例えば、窒素またはアルゴン)を通過させることによって形成されたチタン前駆体ガスが暴露される。アンプルは、このプロセス中に用いられるチタン前駆体に依存して加熱される。ある例では、TDMATを含むアンプルは、25℃から80℃に加熱される。チタン前駆体ガスは、約100sccmから約2、000sccmの範囲、約200sccmから約1,000sccmの範囲が望ましく、約300sccmから約700sccmの範囲、例えば、約500sccmがもっとも好ましい。チタン前駆体ガスと反応剤ガスは組み合わされて堆積ガスを形成する。反応剤ガスは、通常、流量が約100sccmから約3,000sccmの範囲にあり、約200sccmから約2,000sccmがより望ましく、約500sccmから約1,500sccmがさらに望ましい。ある例において、窒素プラズマは反応剤ガスとして、流量約1,500sccmで用いられる。チタン前駆体と反応剤ガスを含むチタン前駆体ガスあるいは堆積ガスが、約0.1秒から8秒の範囲、望ましくは約1秒から約5秒、より好ましくは約2秒から約4秒の間基板に暴露される。チタン前駆体ガスの流れは、チタン前駆体が基板上に一旦吸着された時点で停止される。チタン前駆体は、不連続層、連続層、あるいは多重層などの場合がある。
基板およびチャンバは、チタン前駆体ガスの流れを止めた後、パージステップに入る。パージステップの期間、反応剤ガスの流量は、前段階の流量を維持するかあるいは調節される。望ましくは、反応剤ガスの流量は前段階のそれに維持される。別の選択肢として、プロセスチャンバに導入される反応剤ガスの流量は、約100sccmから約2,000sccm、望ましくは約200sccmから1,000sccm、より望ましくは300sccmから700sccmに管理される。パージステップにおいて、プロセスチャンバ内の過剰なチタン前駆体及びその他の汚染物質を取り除く。パージステップは、約0.1秒から約8秒の範囲、望ましくは約1秒から約5秒の間、さらに望ましくは、約2秒から約4秒の時間範囲で行われる。キャリアガス、パージガス、あるいはその他のプロセスガスは、窒素、水素、アンモニア、アルゴン、ネオン、ヘリウム、あるいはそれらの組み合わせを含む。その他の例では、キャリアガスは窒素を含む。
その後、プラズマ電力は停止される。ある例では、反応剤は、アンモニア、窒素、水素、あるいはそれらの混合ガスであり、それから、アンモニアプラズマ、窒素プラズマ、水素プラズマ、あるいは混合プラズマが形成される。反応剤プラズマは、基板上で吸着されたチタン前駆体と反応し、基板上にチタン材料を形成する。ある例では、反応剤プラズマは還元剤(例えば、H2)として用いられ、金属を形成する場合もある。然しながら、多くの反応剤は、幅広い組成範囲を有するチタン材料を形成するために用いられる。ある例では、ホウ素含有反応剤化合物(例えば、ジボラン)は、ホウ素を含むチタン材料を形成するために用いられる。その他の例では、シリコン含有反応剤化合物(例えば、シラン)が用いられ、シリサイド含有チタン材料を形成する場合もある。
その他の例では、窒素プラズマあるいは窒素前駆体(例えば、窒素又はアンモニア)が、窒化チタンあるいは窒化チタンアルミニウムといった窒素を含むチタン材料を形成するために用いられる。その他の例では、アルミニウム前駆体及び窒素前駆体が窒化チタンアルミニウム材料を形成するために用いられる。窒素前駆体は、ガスまたはプラズマであり、窒素、アンモニア、水素またはそれらの混合ガスを含む。多くの例で、アンモニアを含むガスを点火して形成された窒素プラズマが、チタン前駆体の吸収層、窒化チタン層、アルミニウム層、窒化チタンアルミニウム材料の層に暴露され、また気相堆積プロセス、ALDまたはPE−ALDプロセス、あるいはCVDまたはPE−CVDプロセス、処理プロセスあるいは前処理プロセス、後処理プロセスにおいて、前記窒素プラズマが基板や基板表面に暴露される。
プロセスチャンバについて、前回のステップからの過剰な前駆体や汚染物質を取り除く第2のパージステップに行われる。反応剤ガスの流量は、パージステップの間、前ステップの流量に維持されるか、または調整される。チャンバ内にパージガスを流す選択を行う場合、パージガスの流量は、約200sccmから約1,000sccmの範囲、より望ましくは、約300sccmから約700sccmの範囲で、例えば、約500sccmとされる。第2のパージステップは、約0.1秒から約8秒の時間の範囲、望ましくは約1秒から約5秒の時間、さらに望ましくは、約2秒から約4秒の時間に亘って行われる。
ある実施の形態では、窒化チタンが予め定められた厚さに堆積されるまで、ALDサイクルは繰り返される。その他の実施の形態では、窒化チタン層はアルミニウム前駆体ガスに暴露され、ついで、ALDサイクルおよび/またはアルミニウム前駆体の暴露は、基板上に所定の厚さの窒化チタンアルミニウムが堆積されるまで繰り返される。
チタン材料は、約1,000Å以下、望ましくは約500Å以下、さらに望ましくは、約10Åから約100Åの間、例えば、約30Åの厚さに堆積される。ここで述べるプロセスは、チタン材料を、少なくとも0.15Å/サイクル、望ましくは約0.25Å/サイクル、さらに望ましくは、約0.35Å/サイクルあるいはそれ以上速く堆積する。その他の実施の形態では、ここに述べるプロセスは、核形成に関連した相対的遅延という先行技術に見られる欠陥を克服している。チタン材料を堆積させる上で、本願の多くの実験においては、大部分ではないとしても、検出できるほどの核形成の遅れはない。
ここで示すように、TiAlNは窒化チタンアルミニウム、窒化チタンアルミニウム材料、または、窒化チタンアルミニウム層の略語として用いられているが、別に記載しない限り、あるいは、特定の化学式で示さない限り、窒化チタンアルミニウムの特定の化学量論を意味するものではない。その他の実施の形態において、窒化チタンアルミニウム(TiAlN)材料は、アルミニウム濃度を約2%から約40%、望ましくは約5原子%から33原子%の範囲含む。窒化チタンアルミニウム材料は、炭素濃度を約5原子%以下、望ましくは、3原子%以下、さらに望ましくは、1原子%以下含む。その他の実施の形態では、窒化チタンアルミニウムは、炭素濃度を、約15原子%以下、例えば約10原子%以下、あるいは約5原子%以下含むこともある。一般に、アルミニウムの前駆体ガスに暴露される前に窒化チタン層は、約2Åから約300Åの範囲、望ましくは、約5Åから約200Åの範囲の厚さを有する。アルミニウム層は、約2Åから約20Åの範囲、望ましくは、約2Åから約10Åの範囲の厚さを有する。ある実施の形態において、窒化チタンアルミニウム材料を通して、チタン、窒素、および/またはアルミニウムの濃度は勾配を有する。ある例では、窒化チタン層がアルミニウム前駆体ガスに暴露され、その上にアルミニウム層が堆積される前に、多数の窒化チタン層が基板上に堆積されている。その他の例では、窒化チタン層がその上に堆積される前に、多数のアルミニウム層が基板上に堆積されている。その他の例では、基板が窒素プラズマあるいは他の窒化プロセスに暴露される前に、多数のチタンアルミニウム材料の層が基板上に堆積されている。
その他の実施の形態では、窒化チタンアルミニウム材料は、基板上の金属ゲート層となる。窒化チタンアルミニウム材料を含む金属ゲート層は、約10Åから約100Å、望ましくは約20Åから約80Å、さらに望ましくは、約30Åから約40Åの範囲の厚さを有する。その他の例では、窒化チタンアルミニウム層はキャパシタ内の一層となる。窒化チタンアルミニウム材料を含むキャパシタ層は、約50Åから約500Å、望ましくは約100Åから約200の範囲の、例えば、150Åの厚さを有する。
その他の実施の形態では、窒化チタンアルミニウム材料は基板上のバリア層として用いられる。窒化チタンアルミニウム材料を含むバリア層は、約5Åから約50Å、望ましくは約15Åから約30Åの範囲で、例えば、約20Åの厚さを有する。ある実施の形態において、シード層あるいはバルク層といった金属含有層が、窒化チタンアルミニウム材料を含有するバリア層上に堆積される。金属含有層は、銅、コバルト、ルテニウム、タングステン、パラヂウム、アルミニウム、それらの合金、あるいはそれらの組み合わせを含む。
その他の実施の形態において、チタン材料は別のPE−ALDプロセスによって形成される。それは、チタン前駆体と反応剤のプラズマといった活性反応剤のパルスを順に基板に暴露する方法である。本文に記載のように、チタン前駆体を含むアンプルにキャリアガスをと通過させることによって、形成されたチタン前駆体ガスを基板に暴露する。チタン前駆体ガスは、約100sccmから約2,000sccm、望ましくは約200sccmから約1,000sccm、より望ましくは約300sccmから約700sccmの範囲の流量を有する。チタン前駆体と反応剤ガスを含む堆積ガスを、約0.1秒から約8秒、望ましくは約1秒から約5秒、より望ましくは、約2秒から約4秒の範囲の期間、基板に暴露する。チタン前駆体ガスが基板上に吸着された時点で、チタン前駆体ガスの流れを停止する。チタン前駆体は、不連続層、連続層、あるいは多数層などである。
ついで、基板とチャンバはパージステップに入る。パージステップの間、プロセスチャンバ内にプロセスガスが送られる。ある実施例では、パージガスは、アンモニア、窒素、または水素といった反応剤ガスである。別の実施例では、パージガスは反応剤ガス以外のガスである。例えば、反応剤ガスはアンモニアであろうし、パージガスは、窒素、水素またはアルゴンである。パージガスの流量は、約100sccmから約2,000sccm、望ましくは約200sccmから約1,000sccm、より望ましくは約300sccmから約700sccmの範囲で、例えば、約500sccmである。パージステップで、プロセスチャンバ内の過剰なチタン前駆体および汚染物質を除去する。パージステップは、約0.1秒から約8秒、望ましくは約1秒から約5秒、より望ましくは、約2秒から約4秒の範囲の期間行われる。キャリアガス、パージガス、堆積ガス、その他プロセスガスは、窒素、水素、アンモニア、ネオン、ヘリウム、あるいはそれらを組み合わせたガスを含む。
基板と、その上に吸着されたチタン前駆体は、ALDプロセスの次のステップで、反応剤ガスに暴露される。選択肢の一つとして、キャリアガスがプロセスチャンバ内に、同時に、導入される。反応剤ガスは、転化されてプラズマを形成する。反応剤ガスは、通常、約100sccmから約3,000sccm、望ましくは約200sccmから約2,000sccm、より望ましくは約500sccmから約1,500sccmの範囲の流量を有する。一例では、反応剤ガスとしてアンモニアが用いられ、流量は1,500sccmである。約0.1秒から約20秒、望ましくは約1秒から約10秒、より望ましくは、約2秒から約8秒の範囲の期間、基板はプラズマに暴露される。チタン前駆体ガスは、チタン前駆体が基板上に吸着された時に停止される。ある例では、反応剤は、アンモニア、窒素、水素、あるいはそれらの組み合わせガスであり、プラズマはアンモニアプラズマである。反応剤プラズマは基板上に吸着されたチタン前駆体と反応し、その上にチタン材料を形成する。然しながら、チタン材料を形成するために、数多くの反応剤が用いられる。
プロセスチャンバは、プロセスチャンバから過剰な前駆体や汚染物質を取り除くために、第2のパージステップに入る。前段階のステップの終了時前駆体ガスの流れは停止され、そして、もし、反応剤ガスがパージガスとして用いられるのであれば、ステップに入る。その代わりに、反応剤ガスと異なるパージガスがプロセスチャンバに導入されることもある。反応剤ガスまたはパージガスは、約100sccmから約2,000sccm、望ましくは約200sccmから約1,000sccm、より望ましくは約300sccmから約700sccmの範囲の流量を有する。第2のパージステップは、約0.1秒から約8秒、望ましくは約1秒から約5秒、より望ましくは、約2秒から約4秒の範囲の間行われる。
所定の膜厚のチタン材料が基板上に堆積されるまで、ALDサイクルは繰り返される。チタン材料は、1,000Å以下、望ましくは500Å以下、より望ましくは、約10Åから100Åの範囲で、例えば、約30Åの厚さに堆積される。ここに記載のプロセスにおいて、チタン材料は、少なくとも0.15Å/サイクル、望ましくは、少なくとも0.25Å/サイクル、より望ましくは、少なくとも0.35Å/サイクルまたはそれ以上の速度で堆積される。その他の実施の形態において、ここに述べるプロセスにおいて、核形成に関連した相対的遅延という先行技術の欠陥は克服している。
チタン前駆体および少なくとも一つの反応剤は、プロセスチャンバ内に順に導入され、熱ALDプロセスあるいはPE−ALDプロセスといった堆積プロセスの間、基板に暴露される。このプロセスで形成されるチタン材料は、金属チタン、窒化チタン、窒化チタンシリコン、窒化チタンアルミニウム、チタンアルミニウム合金、あるいはそれらの誘導体である。チタン材料を形成するために適切な材料は、窒素前駆体、または還元ガスであり、窒素(例えば、N2または原子N)、水素(例えば、H2または、原子H)、アンモニア(NH3)、ヒドラジン(N2H4)、シラン(SiH4)、ジシラン(Si2H6)、トリシラン(Si3H8)、テトラシラン(Si4H10)、ジメチルシラン(SiC2H6)、メチルシラン(SiCH6)、エチルシラン(SiC2H6)、クロロシラン(ClSiH3)、ジクロロシラン(Cl2SiH2)、ヘキサクロロシラン(Si2Cl6)、ボラン(BH3)、ジボラン(B2H6)、トリエチルボラン(Et3B)、それらの誘導体、それらのプラズマ、あるいはそれらの組み合わせである。その他の実施の形態において、ここに述べる気相堆積プロセスにおいて、窒化チタンアルミニウム形成の期間反応剤として用いられるアルミニウム前駆体は、トリス(タートブチル)アルミニウム(((CH3))3C)3Al、tBu3Al、または、それらの誘導体が用いられる。
チタン前駆体のパルスの間隔は、例えば、用いられるプロセスチャンバの容量、それに取り付けられた真空システム、およびALDプロセスの間用いられる反応剤の揮発性/反応性などの数多くの要因によって依存する。例えば、(1)大容量のプロセスチャンバでは、例えば、キャリアガス/パージガスの流量や温度といったプロセス条件の安定化には長いパルス時間が要求される、(2)堆積ガスの流量が低いと、プロセス条件の安定化に長時間を要し、長いパルス時間を要求する、(3)チャンバの圧力が低いとことは堆積ガスがより早くチャンバから排出されることを意味し、長いパルス時間が要求される。一般に、プロセス条件は、チタン前駆体のパルスが十分な量の前駆体を与えて、チタン前駆体の少なくとも一層が基板上に吸着されるように有利に選択される。その後、キャリアガスの定常的な循環と真空装置との組み合わせで、チャンバ内に残留している過剰のチタン前駆体は、プロセスチャンバ内から除去される。
チタン前駆体と反応剤ガスのそれぞれのパルス時間は同じ間隔である。即ち、チタン前駆体のパルスの時間と反応剤ガスのパルスの期間とは同じである。そのような実施の形態において、チタン前駆体(例えば、TDMAT)のパルスの時間間隔(T1)は、反応剤ガス(例えば、窒素プラズマ)のパルスの時間間隔(T2)と等しい。
一方、チタン前駆体と反応剤ガスのそれぞれのパルスの間隔は異なった時間でも良い。即ち、チタン前駆体のパルスの時間は、反応剤ガスのパルスの時間より、より長いかもしくはより短くてよい。そのような実施の形態において、チタン前駆体のパルスの時間間隔(T1)は、反応剤ガスのパルスの時間間隔(T2)と異なる。
加えて、チタン前駆体と反応性ガスのそれぞれのパルスのない期間は同じ時間でよい。即ち、チタン前駆体のそれぞれのパルスと反応剤ガスのパルスとの間のパルスのない期間は等しい。そのような実施の形態において、チタン前駆体とのそれぞれのパルスと反応剤ガスのそれぞれのパルスの間のパルスのない期間の時間(T3)は、反応剤ガスのそれぞれのパルスとチタン前駆体のそれぞれのパルスの間のパルスのない時間(T4)と等しい。パルスのない期間の間、プロセスチャンバ内に、キャリアガスの定常流が流される。
他の一つの方法として、チタン前駆体と反応剤ガスの各パルスの間のパルスのない期間は、異なった時間でもよい。即ち、チタン前駆体と反応剤の各パルスのとの間のパルスのない時間の持続期間は、反応剤ガスの各パルスとチタン前駆体の各パルスの間のパルスのない時間の持続期間より短いか、あるいは長い。そのような実施の形態において、チタン前駆体のパルスと反応剤のパルスとの間のパルスのない時間間隔(T3)は、反応剤ガスのパルスとチタン前駆体のパルスの間の時間間隔(T4)と異なる。パルスのない時間の間、キャリアのみが一定の流量で、プロセスチャンバ内に供給される。
加えて、チタン前駆体、反応剤ガスの各パルスの時間間隔と、それらのパルス間のパルスのない期間は、等しくてもよい。そのような実施の形態においては、チタン前駆体の時間間隔(T1)、反応剤の時間間隔(T2)、チタン前駆体のパルスと反応剤のパルスとの間のパルスのない時間間隔(T3)、反応剤ガスのパルスとチタン前駆体のパルスとの間のパルスのない時間間隔(T4)は、それぞれの堆積サイクルにおいて、何れも同一値とされる。例えば、第1の堆積サイクルにおいて、チタン前駆体のパルスの間隔(T1)は、それに続く堆積サイクル(C2...Cn)のチタン前駆体のパルスの間隔と等しい。同様に、第1のサイクル(C1)における反応剤ガスの各パルスの期間とチタン前駆体と反応剤ガスとの間のパルス間のパルスのない期間と、その後の堆積サイクルに於ける反応剤ガスの各パルスの期間とチタン前駆体のパルスと反応剤のパルスの間のパルスのない期間とは等しい。
一方、1つ又はそれ以上にチタン材料の堆積サイクルにおいて、チタン前駆体、反応剤の少なくとも1つのパルスの間隔、それらの間のパルスのない期間はそれぞれ異なる場合もある。こうした実施の形態において、チタン前駆体の1又はそれ以上のパルスの時間間隔(T1)、反応剤ガスのパルスの時間間隔(T2)、チタン前駆体パルスと反応剤ガスのパルスとの間のパルスのない期間(T3)、反応剤ガスのパルスとチタン前駆体のパルスとの間のパルスのない期間(T4)は、周期的な堆積サイクル中、1又はそれ以上の堆積サイクルにおいて、異なった値をとってもよい。例えば、第1の堆積サイクル(C1)におけるチタン前駆体のパルスに対する時間間隔(T1)は、それに続く堆積サイクル(C2...Cn)におけるチタン前駆体のパルスの時間間隔より短いかまたは長い。同様に、反応性ガスのパルスの期間と、第1の堆積サイクルに於けるチタン前駆体のパルスの期間と、チタン前駆体のパルスと反応剤ガスのパルス間のパルスのない時間は、それに続く堆積サイクル(C2...Cn)に於ける反応剤ガスの各パルスの期間と、チタン前駆体の各パルスと反応剤ガスの各パルスの間のパルスのない時間と同じか、異なって良い。
ある実施の形態において、パルス時間とパルスのない時間とが交互に調整されて、一定量のキャリアガスまたはパージガスがプロセスチャンバ内に導入される。ただし、チタンガスと反応剤ガスの交互のパルス供給時にはキャリアガスおよび/またはパージガスが共にプロセスチャンバに導入されるが、パルスのない期間には、キャリアガスおよび/またはパージガスのみが導入される。
一つの例において、窒化チタンアルミニウム材料上に銅のシード層がCVDプロセスによって形成され、その後、ECPプロセスによって、銅のバルク層が相互接続の充填のために堆積される。その他の例において、窒化チタンアルミニウム材料上に、PVDプロセスによって銅のシード層が形成され、その後、ECPプロセスによって、銅のバルク層が相互接続を満たすために堆積される。その他の例において、窒化チタンアルミニウム材料上に銅のシード層が、無電解プロセスによって形成され、その後、ECPプロセスによって、銅のバルク層が相互接続を満たすために堆積される。その他の例では、窒化チタンアルミニウム材料がシード層の役割を果たし、そのシード層上に、銅のバルク充填層がECPプロセスか、無電解堆積プロセスによって作られる。
その他の例において、窒化チタンアルミニウム材料上に、PE−ALDプロセスを用いて、タングステンのシード層を作成することもできる。そして、その後、バルクのタングステンが、CVDプロセスまたはパルス型CVDプロセスによって、相互接続充填層として堆積される。その他の例において、窒化チタンアルミニウム材料の上に、タングステンのシード層がPE−ALDプロセスによって形成され、その後、バルクのタングステンが、相互接続を満たすために堆積される。その他の例において、窒化チタンアルミニウム材料がシード層の役割を果たし、その上に、タングステンのバルク層が、CVDプロセスまたはパルスを用いたCVDプロセスによって、形成される。
その他の例において、窒化チタンアルミニウム材料上に、コバルトまたはルテニウムを含むシード層が、PE−ALDプロセスによって作成され、その後、CVDプロセスまたはパルスを用いたCVDプロセスによって、タングステンまたは銅のバルク層が、相互接続のための充填層として堆積される。その他の例において、窒化チタンアルミニウム材料上に、コバルトまたはルテニウムを含むシード層が、PVDプロセスによって作成され、その後、CVDプロセスまたはパルスを用いたCVDプロセスによって、タングステンまたは銅のバルク層が、相互接続のための充填層として堆積される。その他の例において、窒化チタンアルミニウム材料上に、コバルトまたはルテニウムを含むシード層が、PE−ALDプロセスによって作成され、その後、ECPプロセスによって、タングステンまたは銅のバルク層が、相互接続のための充填層として堆積される。
その他の実施の形態において、動的ランダムアクセスメモリ(DRAM)に利用されるキャパシタの電極は、本文に記載のプロセスによって形成される窒化チタンアルミニウムを含む。ある例では、底面電極は、例えば、酸化シリコンといった酸化物材料の中に形成されたトレンチの底面上に堆積された窒化チタンアルミニウムを含む。窒化チタンアルミニウムを含む底面電極は、約25Åから約500Å、望ましくは、約50Åから約200Å、例えば、約100Åから約150Åの範囲の厚さを有する。底面は、コンタクト層であり、ポリシリコンまたは、タングステン、銅、アルミニウム、銀、それらの合金、又はそれらの組み合わせといった金属を含む。DRAMキャパシタは、さらに、底面電極上に配置された高−k酸化物層と、高−k酸化物層上に配置された上面電極を含む。高−k酸化物層は、酸化ジルコニウム、酸化チタンストロンチウム、チタン酸バリウムストロンチウム、あるいはそれらの誘導体からなる高−k酸化物を含む。
本文のある実施の形態において述べられた、銅や銅合金の相互接続層内の窒化チタンアルミニウム材料/層形成の前後に幾つかの集積作業が行われる。ある例では、次のステップ、即ち、a)基板の予備洗浄、b)基板に窒化チタンアルミニウムを含むバリア層のPE−ALDによる堆積、c)無電解、ECP、あるいはPVDによる銅のシード層の堆積、d)無電解、ECP、あるいはPVDによる銅のシードの堆積、およびe)ECPによる銅バルク層の堆積、が続く。その他の例では、次のステップ、即ち、a) PE−ALDによる窒化チタンアルミニウムの堆積、b)穴あけステップ、c)PE−ALDによる窒化チタンアルミニウムの堆積、d)無電解、ECP、あるいはPVDによる銅のシードの堆積、およびe)ECPによる銅バルク層の堆積、が続く。その他の例では、次のステップ、即ち、a) PE−ALDによる窒化チタンアルミニウムの堆積、b)穴あけステップ、c)PE−ALDによる窒化チタンアルミニウムの堆積、d)無電解、ECP、PVDによる銅シードの堆積、およびe)無電解、ECP、あるいはPVDによる銅のシードの堆積、が続く。その他の例では、次のステップ、即ち、a)PE−ALDによる窒化チタンアルミニウムの堆積、b)穴あけステップ、c)PE−ALDによる窒化チタンアルミニウムの堆積、およびd)無電解、ECP、あるいはPVDによるバルク銅の堆積、が続く。その他の例では、次のステップ、即ち、a)基板の予備洗浄、b)基板に窒化チタンアルミニウムを含むバリア層のPE−ALDによる堆積、c)無電解、ECP、あるいはPVDによる銅シードの堆積、およびd)ECPによる銅バルクの堆積、が続く。その他の例において、次のステップ、即ち、a)バリア層の堆積(例えば、例えば、TiAlNのPE−ALDによる)、b)PE−ALDによる窒化チタンアルミニウムの堆積、c)穴あけステップ、d)PE−ALDによる窒化チタンアルミニウムの堆積、e)無電解、ECP、あるいはPVDによる銅シードの堆積、およびf)ECPによる銅バルクの堆積、が続く。その他の例において、次のステップ、a)バリア層の堆積(例えば、TiAlNのPE−ALDによる)、b)穴あけステップ、c)バリア層の堆積(例えば、TiAlNのPE−ALDによる)d)PE−ALDによる窒化チタンアルミニウムの堆積、e)無電解、ECP、あるいはPVDによる銅シードの堆積、およびf)ECPによる銅バルクの堆積、が続く。ある例では、次のステップ、a)基板の予備洗浄、b)バリア層の堆積(例えば、TiAlNのPE−ALDによる)、c)PE−ALDによる窒化チタンアルミニウムの堆積、およびd)無電解またはECPによる銅バルクの堆積、が続く。
その他の実施の形態において、タングステン、タングステン合金、銅、銅合金を含む相互接続層内部で、窒化チタンアルミニウム材料/層の形成前後において、幾つかの集積作業が行われる。ある例において、次のステップ、即ち、a)基板の予備洗浄、b)窒化チタンアルミニウムを含むバリア層のPE−ALDによる堆積、c)無電解、ECP、又はPVDによるコバルト、ルテニウムを含むシード層の堆積、およびd)ECPによる銅またはタングステン含むバルク層の堆積、が続いて行われる。ある例では、次のステップ、即ち、a)バリア層の堆積(例えば、TiAlNのPE−ALDによる)b)穴あけステップ、c)PE−ALDによる窒化チタンアルミニウムの堆積、d)無電解、ECP、あるいはPVDによるコバルトまたはルテニウムを含むシード層の堆積、およびe)ECPによる銅またはタングステンを含むバルク層の堆積、が続いて行われる。その他の例では、次のステップ、即ち、a)PE−ALDによる窒化チタンアルミニウムの堆積、b)穴あけステップ、c)PE−ALDによる窒化チタンアルミニウムの堆積、d)無電解、ECP、あるいはPVDによるコバルトまたはルテニウムを含むシード層の堆積、およびe)ECPによる銅またはタングステンを含むバルク層の堆積、が続く。その他の例において、次のステップ、即ち、a)PE−ALDによる窒化チタンアルミニウムの堆積、b)穴あけステップ、c)PE−ALDによる窒化チタンアルミニウムの堆積、d)無電解またはECPによる銅の堆積、が続いて行われる。その他の例において、次のようなステップ、即ち、a)基板の予備洗浄、b)PE−ALDによる窒化チタンアルミニウムの堆積、c)無電解、ECP、あるいはPVDによるコバルトまたはルテニウムを含むシード層の堆積、d)ECPによる銅またはタングステンを含むバルク層の堆積、が続いて行われる。その他の例において、次のようなステップ、即ち、a)バリア層の堆積(例えば、TiAlNのPE−ALDによる)、b)PE−ALDによる窒化チタンアルミニウムの堆積、c)穴あけステップ、d)PE−ALDによる窒化チタンアルミニウムの堆積、e)無電解、ECP、あるいはPVDによるコバルトまたはルテニウムを含むシード層の堆積、f)ECPによる銅またはタングステンを含むバルク層の堆積、が続いて行われる。その他の例において、次のようなステップ、即ち、a)バリア層の堆積(例えば、TiAlNのPE−ALDによる)、b)穴あけ、c)バリア層の堆積(例えば、TiAlNのPE−ALDによる)、d)PE−ALDによる窒化チタンアルミニウムの堆積、e)無電解、ECP、あるいはPVDによるコバルトまたはルテニウムを含むシード層の堆積、f)ECPによる銅またはタングステンを含むバルク層の堆積、が続いて行われる。その他の例において、a)基板の予備洗浄、b)バリア層の堆積(例えば、TiAlNのPE−ALDによる)c)PE−ALDによる窒化チタンアルミニウムの堆積、d)無電解またはECPによるコバルトまたはタングステンを含むシード層の堆積、f)ECPによる銅またはタングステンを含むバルク層の堆積、が続いて行われる。
予備洗浄ステップは、通路(例えば、炭素)の底にある残渣の除去または、酸化銅の銅への還元といった通路の清掃または浄化を含む。穿孔ステップは、通路の底部から材料(例えば、バリア層)を取り除いて、導電層、例えば、銅を露出させることである。この穿孔ステップの更なる開示は、本願と共通に譲渡され、同様に参照されている米国特許第6,498,091号に詳細に記載されている。穿孔ステップは、バリア層形成チャンバあるいは、浄化チャンバ内といったプロセスチャンバ内で行われる。本発明の実施の形態において、洗浄ステップと穿孔ステップは、窒化チタンアルミニウムバリア層に適用される。さらに、全体で一体化された方法は、全体として参照されることにより本願に含まれる米国特許第7,049,226号により詳細に記載されている。ある実施の形態では、本願に記載のPE−ALDプロセスによって形成された窒化チタンアルミニウム材料は、2,000μΩ−cm、望ましくは、1,000μΩ−cm、より望ましくは500μΩ−cm以下のシート抵抗を有する。
その他の実施の形態において、本文に述べる窒化チタンアルミニウム材料は、相変化メモリ(PCM)の電極あるいは相変化ランダムアクセスメモリ(PRAM)の電極に用いられる。PRAMキャパシタは、熱の印加により、結晶状態と非結晶状態との間を変化または転換するカルコゲニド材料又はカルコゲニドガラスの特有な挙動を利用している。PRAMキャパシタは、窒化チタンアルミニウム材料を含み、かつコンタクト面上に配置された底面電極と、窒化チタンアルミニウムを含み、かつ底面電極の上方に配置された高抵抗層(レジスタ)と、高抵抗層またはレジスタの上方に配置された相変化材料層と、層変化材料層上に配置され、窒化チタンアルミニウムを含むトップ電極と、からなっている。層変化材料層は、層変化層の上方に位置し、カルコゲニド合金又はカルコゲニドガラスであり、ゲルマニウム、アンチモン、テルル、セレン、インジウム、銀、それらの合金、およびそれらの誘導体を含む。相変化層が含む合金の例は、ゲルマニウム、アンチモン、テルル合金、ゲルマニウムアンチモンテルルセレン合金、銀インジウム、アンチモンテルル合金、銀インジウムアンチモンセレンテルル合金、インジウムセレン合金、アンチモンセレン合金、アンチモンテルル合金、インジウムアンチモンセレン合金、インジウムアンチモンテルル合金、ゲルマニウムアンチモンセレン合金、それらの合金、それらの誘導体、およびそれらの組み合わせを含む。コンタクト面は、金属および/またはその他の合金の1層または多数層を含む材料の表面であり、金属および/または導電性材料は、チタン、タングステン、銅、コバルト、ルテニウム、ニッケル、白金、アルミニウム、銀、ポリシリコン、ドープシリコン、それらの誘導体、それらの合金、およびそれらの組み合わせを含む。
その他の実施の形態において、ダイナミックランダムアクセスメモリ(DRAM)の埋め込みワード線(bWL)又は埋め込みビット線(bBL)の中に、本文で述べた窒化チタンアルミニウム材料の少なくとも1層が含まれる。ある例において、DRAMのbWL又はDRAMのbBL中に窒化チタンアルミニウム材料を含むライナ−層が含まれている。ライナ−層は、酸化物フィルムおよび/またはコンタクト面の上または上方にあり、かつ低抵抗材料が充填材料として作用するためライナ−層の上又は上方に配置される。ある例において、低抵抗材料は用いられることがなく、窒化チタンアルミニウム材料を含むライナ−層が、充填材料/層に含まれる。コンタクト面は、金属および/またはその他導電性材料の1層又は多数層を含む材料の面である。その金属および/またはその他導電性材料は、チタン、タングステン、銅、コバルト、ルテニウム、ニッケル、白金、アルミニウム、銀、ポリシリコン、ドープシリコン、それらの誘導体、それらの合金、およびそれらの組み合わせを含む。
その他の実施の形態において、論理または周辺DRAMの金属ゲートは、本願で述べる窒化チタンアルミニウムを含む。金属ゲート集積スキームは、ゲート第1スキームまたはゲート最終スキームに従っている。ゲート第1スキームは、高−k酸化物層の上または上方に配置された窒化チタンアルミニウムを含む仕事関数材料/層と、仕事関数層の上または上方に配置されたハードマスク層を含む。高−k酸化物層は、酸化ハフニウム、珪酸ハフニウム、珪酸ハフニウムアルミニウム、酸化ジルコニウム、酸化ストロンチウムチタン、チタン酸バリウムストロンチウム、それらの誘導体、それらの珪酸塩、それらのチタン酸塩、それらの組み合わせといった高−k材料を少なくとも1つ含む。高−k酸化物層は、高−k材料の単一層か、あるいは、高−k材料の積層といった多数層を含む。ゲート最終スキームにおいて、仕事関数材料層および/またはバリア層は、本文で述べる窒化チタンアルミニウムをそれぞれ独立に含む。仕事関数材料として用いる場合、窒化チタンアルミニウムは、ハードマスク材料(例えば、窒化チタン)の上方に、あるいは高−k材料(例えば、酸化ハフニウムまたはその誘導体)の上に直接配置される。低抵抗充填剤用の金属チタン、チタン合金、あるいはその誘導体といったぬれ材料は、仕事関数材料の上方に配置される。窒化チタンアルミニウム材料を含むバリア層は、窒化チタン、コバルト、ニッケル、ルテニウムあるいはそれらの誘導体からなる仕事関数材料/層の上に配置される。チタンやその誘導体からなる低抵抗充填用の濡れ層は、バリア層上に配置される。
本文に記載する「基板表面」とは、製造プロセスの期間、フィルム処理が行われる基板上に形成された基板あるいは材料表面を表す。例えば、処理を行うことができる基板表面は、用途によって、シリコン、酸化シリコン、有歪シリコン、絶縁体上のシリコン(SOI)、炭素ドープ酸化シリコン、窒化シリコン、ドープシリコン、ゲルマニウム、ガリウム砒素、ガラス、サファイア、その他、金属、窒化金属、金属合金、およびその他導電性材料といった材料を含む。基板表面上のバリア層、金属または窒化金属は、チタン、窒化チタン、窒化タングステン、タンタルおよび窒化タンタルを含む。基板は、直径200mmあるいは300mmのウエハといった種々の寸法を持ち、あるいは、長方形又は正方形の皿といった形状のばあいもある。別途記載がない限り、本文に記述される実施の形態や例は、直径200mmまたは直径300mm、望ましくは直径300mmの基板を用いて実施された。本文で記述するプロセスは、窒化チタン、窒化チタンアルミニウム、その他の窒化物(例えば、金属チタン、窒化チタンシリコン)、および窒化アルミニウムを多くの基板や表面に堆積している。本発明の実施の形態において有用な基板は、半導体ウエハに限定されないが、結晶性シリコン(例えば、Si<100>、またはSi<111>)、酸化シリコン、歪シリコン、シリコンゲルマニウム、ドープまたはアンドープポリシリコン、ドープまたはアンドープシリコンウエハ、有パターンまたは無パターンのウエハといった半導体ウエハが含まれる。基板は、基板表面を研磨、エッチ、減厚、酸化、水酸化、アニール、および/または焼成する前処理プロセスに供してもよい。
本文で用いられる「原子層堆積」(ALD)あるいは「周期的堆積」は、2またはそれ以上の反応剤成分を順に導入して、基板表面上に材料層を堆積させることをさす。2または3、またはそれ以上に反応剤化合物が処理チャンバの反応プロセスゾーンに交互に導入される。反応剤化合物は、気相堆積プロセスに役立つ、ガス、蒸気、流体、あるいは、その他の状態とされている。通常、各前駆体が基板表面で接着および/または反応するように、それぞれの反応剤化合物は、供給時間を遅らせて、基板上に接着または反応させる。ある面では、第1の前駆体または化合物Aは、第1の時間遅れで反応ゾーンにパルス導入される。ついで、第2の前駆体または化合物Bは、第2の時間遅れで反応ゾーンにパルス導入される。化合物Aと化合物Bは反応して、堆積材料を形成する。それぞれの時間遅れの間、パージガスがプロセスチャンバ内に導入され、反応ゾーンやその他の場所から残存の反応化合物や複生産物を除去する。一方、パージガスが堆積プロセスの期間を通して連続的に流すと、反応化合物のパルス導入の遅れ時間の間、パージガスのみが流される。反応化合物は堆積された材料の堆積厚が所要の膜圧に形成されるまで、交互にパルス導入される。上記の両シナリオにおいて、化合物AのALDプロセス、パージガス、化合物Bのパルス、パージガスという交互導入が一周期である。一つの周期は、化合物A又は化合物Bのいずれから開始してもよく、フィルムが所定の厚さに達するまで、周期のその順序は連続して続けられる。その他の実施の形態において、化合物Aを含む第1の前駆体、化合物Bを含む第2前駆体、化合物Cを含む第3の前駆体は、それぞれ別々にプロセスチャンバ内にパルスされる。あるいは、第1前駆体のパルスは第2前駆体のパルスと時間的に重複し、第3前駆体のパルスは、第1および第2の前駆体のいずれのパルとも重複しないこともあろう。ここで用いられる堆積ガスまたはプロセスガスは、単独のガス、複数のガス、プラズマを含むガスなど、ガスおよび/またはプラズマの組み合わせである。反応性化合物は、気相堆積プロセスの間、ガス、プラズマ、蒸気、あるいは流体などの状態にある。また、このプロセスは、パージガス、あるいはキャリアガスを含み、反応性化合物を含まない。
前記に本発明の好ましい実施の形態を示したが、その他の、あるいは更なる本発明の実施の形態は本願の範囲を離れない範囲で具体化できる。そして、本発明の範囲は次の請求の範囲に定められる。
Claims (15)
- 基板表面に窒化チタンアルミニウム材料を形成する方法であって、
プラズマ強化原子層堆積プロセスにおいて、基板をチタン前駆体ガスおよび窒素プラズマに順に暴露し、基板上に窒化チタン層を形成し、
処理プロセスにおいて、前記窒化チタン層をプラズマに暴露し、
気相堆積プロセスにおいて、前記窒化チタン層にアルミニウム前駆体ガスを暴露して、この間に窒化チタン層上にアルミニウム層を堆積し、
前記プラズマ強化原子層堆積プロセス、前記処理プロセスおよび前記気相堆積プロセスを順に繰り返して、窒化チタン層とアルミニウム層とから窒化チタンアルミニウム材料を形成することからなる、方法。 - チタン前駆体ガスは、テトラキス(ジメチルアミノ)チタン、テトラキス(ジエチルアミノ)チタン、テトラキス(メチルエチルアミノ)チタンおよびそれらの誘導体からなる群から選択されるチタン前駆体を含む請求項1に記載の方法。
- アルミニウム前駆体ガスは、トリス(タートブチル)アルミニウム、トリメチルアルミニウム、塩化アルミニウムおよびこれらの誘導体からなる群から選択されるアルミニウム前駆体からなる請求項1に記載の方法。
- 窒素プラズマは、窒素、アンモニア、水素、それらの誘導体およびそれらの混合物からなる群から選択されるガスから形成される請求項1に記載の方法。
- チタン前駆体がテトラキス(ジメチルアミノ)チタンであり、アルミニウム前駆体が、トリス(タートブチル)アルミニウムであり、窒素プラズマが窒素(N2)またはアンモニアからなるガスから形成される請求項1に記載の方法。
- 処理プロセスにおいて窒化チタン層に曝されるプラズマは、窒素(N2)またはアンモニアからなるガスから形成される請求項1に記載の方法。
- 窒化チタンアルミニウム材料は基板上の金属ゲート層であり、この金属ゲート層は約20Åから約80Åの範囲の厚さを有する請求項1に記載の方法。
- 窒化チタンアルミニウム材料は基板上のバリア層であり、このバリア層は約15Åから約30Åの範囲の厚さを有する請求項1に記載の方法。
- バリア層上に金属含有層が配置され、該金属含有層は、銅、コバルトまたはルテニウムを含む請求項8に記載の方法。
- 窒化チタンアルミニウム材料は基板上のキャパシタ内の電極層であり、この窒化チタンアルミニウム材料の電極層は約50Åから約200Åの範囲の厚さを有する請求項1に記載の方法。
- 基板表面に窒化チタンアルミニウム材料を形成する方法であって、
基板をチタン前駆体ガスおよび窒素前駆体に順に暴露し、この間に第1窒化チタン層を基板上に形成し、
処理プロセスにおいて、第1窒化チタン層をプラズマに暴露し、
第1窒化チタン層にアルミニウム前駆体ガスを暴露し、この間に第1アルミニウム層を第1窒化チタン層上に堆積し、
基板をチタン前駆体ガスおよび窒素前駆体に順に暴露し、この間に第1アルミニウム層上に第2窒化チタン層を形成し、
処理プロセスにおいて、第2窒化チタン層をプラズマに暴露し、
第2窒化チタン層にアルミニウム前駆体ガスを暴露して、この間に第2アルミニウム層を第2窒化チタン層上に堆積することからなる、方法。 - 基板表面に窒化チタンアルミニウム材料を形成する方法であって、
基板をチタン前駆体ガスおよび窒素前駆体に順に暴露し、この間に第1窒化チタン層を基板上に形成し、
第1処理プロセスにおいて、第1窒化チタン層を第1プラズマに暴露し、
第1窒化チタン層をアルミニウム前駆体ガスに暴露し、この間に第1窒化チタン層上に第1アルミニウム層を形成し、
第2処理プロセスにおいて、第1アルミニウム層を第2プラズマに暴露し、
基板を窒素前駆体ガスおよび窒素前駆体に順に暴露し、この間に第1アルミニウム層上に第2窒化チタン層を形成し、
第1処理プロセスにおいて、第2窒化チタン層を第1プラズマに暴露し、
第2窒化チタン層をアルミニウム前駆体ガスに暴露し、この間に第2窒化チタン層上に第2アルミニウム層を堆積し、
第2処理プロセスにおいて、第2アルミニウム層を第2プラズマに暴露することからなる、方法。 - 基板表面に窒化チタンアルミニウム材料を形成する方法であって、
基板をチタン前駆体およびアルミニウム前駆体からなる堆積ガスに暴露し、この間に基板上に吸収層を形成し、
吸収層を窒素プラズマに暴露して、この間に基板上に窒化チタンアルミニウム層を形成し、
堆積ガスと窒素プラズマを順に繰り返し暴露して、基板上に複数の窒化チタンアルミニウム層を形成することからなる、方法。 - コンタクト面の上方に配置され、窒化チタンアルミニウムを含む底面電極と、
底面電極の上方に配置された高−k酸化物層と、
高−k酸化物層の上方に配置され、窒化チタンアルミニウムを含む上面電極と、
を含むダイナミックランダムアクセスメモリキャパシタ。 - コンタクト面が、チタン、タングステン、銅、コバルト、ルテニウム、ニッケル、白金、アルミニウム、銀、ポリシリコン、ドープポリシリコン、それらの誘導体、それらの合金、およびそれらの組み合わせからなる群から選択された材料を含み、
高−k酸化物層が、酸化ハフニウム、珪酸ハフニウム、珪酸ハフニウムアルミニウム、酸化ジルコニウム、酸化チタンストロンチウム、チタン酸バリウムストロンチウム、それらの誘導体、それらの珪酸塩、それらのアルミン酸塩、およびそれらの組み合わせからなる群から選択された高−k材料を含み、かつ、
底面電極、高−k酸化物層、および上部電極が、基板上に配置された酸化物材料中に形成されたトレンチ内に存在している請求項14に記載のDRAMキャパシタ。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10875508P | 2008-10-27 | 2008-10-27 | |
US61/108,755 | 2008-10-27 | ||
PCT/US2009/062174 WO2010062582A2 (en) | 2008-10-27 | 2009-10-27 | Vapor deposition method for ternary compounds |
Publications (1)
Publication Number | Publication Date |
---|---|
JP2012506947A true JP2012506947A (ja) | 2012-03-22 |
Family
ID=42116663
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2011533422A Pending JP2012506947A (ja) | 2008-10-27 | 2009-10-27 | 三元化合物の気相堆積方法 |
Country Status (5)
Country | Link |
---|---|
US (1) | US20100102417A1 (ja) |
JP (1) | JP2012506947A (ja) |
KR (1) | KR20110084275A (ja) |
CN (1) | CN102197459A (ja) |
WO (1) | WO2010062582A2 (ja) |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012119432A (ja) * | 2010-11-30 | 2012-06-21 | Hitachi Kokusai Electric Inc | 半導体デバイスの製造方法、基板処理装置および半導体デバイス |
JP2012219330A (ja) * | 2011-04-08 | 2012-11-12 | Ulvac Japan Ltd | 相変化メモリの形成装置、及び相変化メモリの形成方法 |
JP2017025412A (ja) * | 2015-07-24 | 2017-02-02 | エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated | 13族金属又は半金属の窒化物膜の堆積方法 |
Families Citing this family (477)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US8291857B2 (en) | 2008-07-03 | 2012-10-23 | Applied Materials, Inc. | Apparatuses and methods for atomic layer deposition |
US10378106B2 (en) | 2008-11-14 | 2019-08-13 | Asm Ip Holding B.V. | Method of forming insulation film by modified PEALD |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9892917B2 (en) | 2010-04-15 | 2018-02-13 | Lam Research Corporation | Plasma assisted atomic layer deposition of multi-layer films for patterning applications |
US9373500B2 (en) | 2014-02-21 | 2016-06-21 | Lam Research Corporation | Plasma assisted atomic layer deposition titanium oxide for conformal encapsulation and gapfill applications |
US9390909B2 (en) | 2013-11-07 | 2016-07-12 | Novellus Systems, Inc. | Soft landing nanolaminates for advanced patterning |
US8637411B2 (en) | 2010-04-15 | 2014-01-28 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US20110256734A1 (en) | 2010-04-15 | 2011-10-20 | Hausmann Dennis M | Silicon nitride films and methods |
US8956983B2 (en) | 2010-04-15 | 2015-02-17 | Novellus Systems, Inc. | Conformal doping via plasma activated atomic layer deposition and conformal film deposition |
US9997357B2 (en) | 2010-04-15 | 2018-06-12 | Lam Research Corporation | Capped ALD films for doping fin-shaped channel regions of 3-D IC transistors |
US9076646B2 (en) | 2010-04-15 | 2015-07-07 | Lam Research Corporation | Plasma enhanced atomic layer deposition with pulsed plasma exposure |
US9611544B2 (en) | 2010-04-15 | 2017-04-04 | Novellus Systems, Inc. | Plasma activated conformal dielectric film deposition |
US9257274B2 (en) | 2010-04-15 | 2016-02-09 | Lam Research Corporation | Gapfill of variable aspect ratio features with a composite PEALD and PECVD method |
US9287113B2 (en) | 2012-11-08 | 2016-03-15 | Novellus Systems, Inc. | Methods for depositing films on sensitive substrates |
US8524612B2 (en) | 2010-09-23 | 2013-09-03 | Novellus Systems, Inc. | Plasma-activated deposition of conformal films |
US9685320B2 (en) | 2010-09-23 | 2017-06-20 | Lam Research Corporation | Methods for depositing silicon oxide |
US8647993B2 (en) | 2011-04-11 | 2014-02-11 | Novellus Systems, Inc. | Methods for UV-assisted conformal film deposition |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US9793148B2 (en) | 2011-06-22 | 2017-10-17 | Asm Japan K.K. | Method for positioning wafers in multiple wafer transport |
US10364496B2 (en) | 2011-06-27 | 2019-07-30 | Asm Ip Holding B.V. | Dual section module having shared and unshared mass flow controllers |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
CN102296278A (zh) * | 2011-09-26 | 2011-12-28 | 中国科学院微电子研究所 | 一种氮化铝薄膜的制备方法 |
US9096931B2 (en) | 2011-10-27 | 2015-08-04 | Asm America, Inc | Deposition valve assembly and method of heating the same |
US9341296B2 (en) | 2011-10-27 | 2016-05-17 | Asm America, Inc. | Heater jacket for a fluid line |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US9167625B2 (en) | 2011-11-23 | 2015-10-20 | Asm Ip Holding B.V. | Radiation shielding for a substrate holder |
US9005539B2 (en) | 2011-11-23 | 2015-04-14 | Asm Ip Holding B.V. | Chamber sealing member |
US9112003B2 (en) | 2011-12-09 | 2015-08-18 | Asm International N.V. | Selective formation of metallic films on metallic surfaces |
US8623468B2 (en) * | 2012-01-05 | 2014-01-07 | Taiwan Semiconductor Manufacturing Company, Ltd. | Methods of fabricating metal hard masks |
US8592328B2 (en) | 2012-01-20 | 2013-11-26 | Novellus Systems, Inc. | Method for depositing a chlorine-free conformal sin film |
US8728955B2 (en) | 2012-02-14 | 2014-05-20 | Novellus Systems, Inc. | Method of plasma activated deposition of a conformal film on a substrate surface |
US9202727B2 (en) | 2012-03-02 | 2015-12-01 | ASM IP Holding | Susceptor heater shim |
US9330939B2 (en) * | 2012-03-28 | 2016-05-03 | Applied Materials, Inc. | Method of enabling seamless cobalt gap-fill |
US8946830B2 (en) | 2012-04-04 | 2015-02-03 | Asm Ip Holdings B.V. | Metal oxide protective layer for a semiconductor device |
US9029253B2 (en) * | 2012-05-02 | 2015-05-12 | Asm Ip Holding B.V. | Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same |
US8728832B2 (en) | 2012-05-07 | 2014-05-20 | Asm Ip Holdings B.V. | Semiconductor device dielectric interface layer |
US8933375B2 (en) | 2012-06-27 | 2015-01-13 | Asm Ip Holding B.V. | Susceptor heater and method of heating a substrate |
US9558931B2 (en) | 2012-07-27 | 2017-01-31 | Asm Ip Holding B.V. | System and method for gas-phase sulfur passivation of a semiconductor surface |
US9117866B2 (en) | 2012-07-31 | 2015-08-25 | Asm Ip Holding B.V. | Apparatus and method for calculating a wafer position in a processing chamber under process conditions |
US9659799B2 (en) | 2012-08-28 | 2017-05-23 | Asm Ip Holding B.V. | Systems and methods for dynamic semiconductor process scheduling |
US9169975B2 (en) | 2012-08-28 | 2015-10-27 | Asm Ip Holding B.V. | Systems and methods for mass flow controller verification |
US9021985B2 (en) | 2012-09-12 | 2015-05-05 | Asm Ip Holdings B.V. | Process gas management for an inductively-coupled plasma deposition reactor |
US9324811B2 (en) | 2012-09-26 | 2016-04-26 | Asm Ip Holding B.V. | Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
TWI595112B (zh) | 2012-10-23 | 2017-08-11 | 蘭姆研究公司 | 次飽和之原子層沉積及保形膜沉積 |
US11043386B2 (en) | 2012-10-26 | 2021-06-22 | Applied Materials, Inc. | Enhanced spatial ALD of metals through controlled precursor mixing |
US9230815B2 (en) | 2012-10-26 | 2016-01-05 | Appled Materials, Inc. | Methods for depositing fluorine/carbon-free conformal tungsten |
SG2013083241A (en) | 2012-11-08 | 2014-06-27 | Novellus Systems Inc | Conformal film deposition for gapfill |
US8852996B2 (en) * | 2012-12-20 | 2014-10-07 | Intermolecular, Inc. | Carbon doped resistive switching layers |
US9640416B2 (en) | 2012-12-26 | 2017-05-02 | Asm Ip Holding B.V. | Single-and dual-chamber module-attachable wafer-handling chamber |
US8894870B2 (en) | 2013-02-01 | 2014-11-25 | Asm Ip Holding B.V. | Multi-step method and apparatus for etching compounds containing a metal |
US9659814B2 (en) | 2013-02-01 | 2017-05-23 | Applied Materials, Inc. | Doping control of metal nitride films |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
US9589770B2 (en) | 2013-03-08 | 2017-03-07 | Asm Ip Holding B.V. | Method and systems for in-situ formation of intermediate reactive species |
US9484191B2 (en) | 2013-03-08 | 2016-11-01 | Asm Ip Holding B.V. | Pulsed remote plasma method and system |
US8956939B2 (en) * | 2013-04-29 | 2015-02-17 | Asm Ip Holding B.V. | Method of making a resistive random access memory device |
CN103295956A (zh) * | 2013-05-25 | 2013-09-11 | 复旦大学 | 一种利用等离子体增强原子层淀积工艺制备超薄钌薄膜的方法 |
US8993054B2 (en) | 2013-07-12 | 2015-03-31 | Asm Ip Holding B.V. | Method and system to reduce outgassing in a reaction chamber |
US9018111B2 (en) | 2013-07-22 | 2015-04-28 | Asm Ip Holding B.V. | Semiconductor reaction chamber with plasma capabilities |
CN103441214B (zh) * | 2013-08-02 | 2015-10-21 | 浙江大学 | 一种阻变存储器的制备方法 |
US9793115B2 (en) | 2013-08-14 | 2017-10-17 | Asm Ip Holding B.V. | Structures and devices including germanium-tin films and methods of forming same |
US9396934B2 (en) | 2013-08-14 | 2016-07-19 | Asm Ip Holding B.V. | Methods of forming films including germanium tin and structures and devices including the films |
US9240412B2 (en) | 2013-09-27 | 2016-01-19 | Asm Ip Holding B.V. | Semiconductor structure and device and methods of forming same using selective epitaxial process |
US9556516B2 (en) | 2013-10-09 | 2017-01-31 | ASM IP Holding B.V | Method for forming Ti-containing film by PEALD using TDMAT or TDEAT |
US9605343B2 (en) | 2013-11-13 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming conformal carbon films, structures conformal carbon film, and system of forming same |
US10179947B2 (en) | 2013-11-26 | 2019-01-15 | Asm Ip Holding B.V. | Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition |
US9607888B2 (en) * | 2014-02-03 | 2017-03-28 | Tokyo Electron Limited | Integration of ALD barrier layer and CVD Ru liner for void-free Cu filling |
US9895715B2 (en) | 2014-02-04 | 2018-02-20 | Asm Ip Holding B.V. | Selective deposition of metals, metal oxides, and dielectrics |
US9214334B2 (en) | 2014-02-18 | 2015-12-15 | Lam Research Corporation | High growth rate process for conformal aluminum nitride |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US9447498B2 (en) | 2014-03-18 | 2016-09-20 | Asm Ip Holding B.V. | Method for performing uniform processing in gas system-sharing multiple reaction chambers |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
US10047435B2 (en) | 2014-04-16 | 2018-08-14 | Asm Ip Holding B.V. | Dual selective deposition |
US9404587B2 (en) | 2014-04-24 | 2016-08-02 | ASM IP Holding B.V | Lockout tagout for semiconductor vacuum valve |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9543180B2 (en) | 2014-08-01 | 2017-01-10 | Asm Ip Holding B.V. | Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum |
US9478411B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method to tune TiOx stoichiometry using atomic layer deposited Ti film to minimize contact resistance for TiOx/Ti based MIS contact scheme for CMOS |
US9478438B2 (en) | 2014-08-20 | 2016-10-25 | Lam Research Corporation | Method and apparatus to deposit pure titanium thin film at low temperature using titanium tetraiodide precursor |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
US9190266B1 (en) | 2014-08-27 | 2015-11-17 | The Regents Of The University Of California | High capacitance density gate dielectrics for III-V semiconductor channels using a pre-disposition surface treatment involving plasma and TI precursor exposure |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
KR102216575B1 (ko) * | 2014-10-23 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | 티타늄 알루미늄 및 탄탈륨 알루미늄 박막들 |
KR102300403B1 (ko) | 2014-11-19 | 2021-09-09 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US9564312B2 (en) | 2014-11-24 | 2017-02-07 | Lam Research Corporation | Selective inhibition in atomic layer deposition of silicon-containing films |
KR102263121B1 (ko) | 2014-12-22 | 2021-06-09 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 및 그 제조 방법 |
CN104630744B (zh) * | 2015-01-21 | 2017-06-16 | 江南大学 | 一种以氨基钛为钛源的Al/Ti薄膜原子层沉积方法 |
US9478415B2 (en) | 2015-02-13 | 2016-10-25 | Asm Ip Holding B.V. | Method for forming film having low resistance and shallow junction depth |
US9490145B2 (en) | 2015-02-23 | 2016-11-08 | Asm Ip Holding B.V. | Removal of surface passivation |
KR101713718B1 (ko) * | 2015-02-23 | 2017-03-08 | 현대자동차 주식회사 | 연료전지용 분리판의 코팅 방법 및 연료전지용 분리판 |
US10529542B2 (en) | 2015-03-11 | 2020-01-07 | Asm Ip Holdings B.V. | Cross-flow reactor and method |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10566187B2 (en) | 2015-03-20 | 2020-02-18 | Lam Research Corporation | Ultrathin atomic layer deposition film accuracy thickness control |
US9502238B2 (en) | 2015-04-03 | 2016-11-22 | Lam Research Corporation | Deposition of conformal films by atomic layer deposition and atomic layer etch |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10526701B2 (en) | 2015-07-09 | 2020-01-07 | Lam Research Corporation | Multi-cycle ALD process for film uniformity and thickness profile modulation |
US10043661B2 (en) | 2015-07-13 | 2018-08-07 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US9899291B2 (en) | 2015-07-13 | 2018-02-20 | Asm Ip Holding B.V. | Method for protecting layer by forming hydrocarbon-based extremely thin film |
US10083836B2 (en) | 2015-07-24 | 2018-09-25 | Asm Ip Holding B.V. | Formation of boron-doped titanium metal films with high work function |
US11421321B2 (en) | 2015-07-28 | 2022-08-23 | Asm Ip Holding B.V. | Apparatuses for thin film deposition |
US10204790B2 (en) | 2015-07-28 | 2019-02-12 | Asm Ip Holding B.V. | Methods for thin film deposition |
US10428421B2 (en) | 2015-08-03 | 2019-10-01 | Asm Ip Holding B.V. | Selective deposition on metal or metallic surfaces relative to dielectric surfaces |
US10087525B2 (en) | 2015-08-04 | 2018-10-02 | Asm Ip Holding B.V. | Variable gap hard stop design |
US10121699B2 (en) | 2015-08-05 | 2018-11-06 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US10566185B2 (en) | 2015-08-05 | 2020-02-18 | Asm Ip Holding B.V. | Selective deposition of aluminum and nitrogen containing material |
US9647114B2 (en) | 2015-08-14 | 2017-05-09 | Asm Ip Holding B.V. | Methods of forming highly p-type doped germanium tin films and structures and devices including the films |
US9711345B2 (en) | 2015-08-25 | 2017-07-18 | Asm Ip Holding B.V. | Method for forming aluminum nitride-based film by PEALD |
US9960072B2 (en) | 2015-09-29 | 2018-05-01 | Asm Ip Holding B.V. | Variable adjustment for precise matching of multiple chamber cavity housings |
US10118828B2 (en) | 2015-10-02 | 2018-11-06 | Asm Ip Holding B.V. | Tritertbutyl aluminum reactants for vapor deposition |
US10695794B2 (en) | 2015-10-09 | 2020-06-30 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US10814349B2 (en) | 2015-10-09 | 2020-10-27 | Asm Ip Holding B.V. | Vapor phase deposition of organic films |
US9909214B2 (en) | 2015-10-15 | 2018-03-06 | Asm Ip Holding B.V. | Method for depositing dielectric film in trenches by PEALD |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US10322384B2 (en) | 2015-11-09 | 2019-06-18 | Asm Ip Holding B.V. | Counter flow mixer for process chamber |
US9455138B1 (en) | 2015-11-10 | 2016-09-27 | Asm Ip Holding B.V. | Method for forming dielectric film in trenches by PEALD using H-containing gas |
US9905420B2 (en) | 2015-12-01 | 2018-02-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium tin films and structures and devices including the films |
US9607837B1 (en) | 2015-12-21 | 2017-03-28 | Asm Ip Holding B.V. | Method for forming silicon oxide cap layer for solid state diffusion process |
US9627221B1 (en) | 2015-12-28 | 2017-04-18 | Asm Ip Holding B.V. | Continuous process incorporating atomic layer etching |
US9735024B2 (en) | 2015-12-28 | 2017-08-15 | Asm Ip Holding B.V. | Method of atomic layer etching using functional group-containing fluorocarbon |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10468251B2 (en) | 2016-02-19 | 2019-11-05 | Asm Ip Holding B.V. | Method for forming spacers using silicon nitride film for spacer-defined multiple patterning |
US10170700B2 (en) * | 2016-02-19 | 2019-01-01 | Arm Ltd. | Fabrication of correlated electron material devices method to control carbon |
US9754779B1 (en) | 2016-02-19 | 2017-09-05 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10501866B2 (en) | 2016-03-09 | 2019-12-10 | Asm Ip Holding B.V. | Gas distribution apparatus for improved film uniformity in an epitaxial system |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US9892913B2 (en) | 2016-03-24 | 2018-02-13 | Asm Ip Holding B.V. | Radial and thickness control via biased multi-port injection settings |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10087522B2 (en) | 2016-04-21 | 2018-10-02 | Asm Ip Holding B.V. | Deposition of metal borides |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US11081342B2 (en) | 2016-05-05 | 2021-08-03 | Asm Ip Holding B.V. | Selective deposition using hydrophobic precursors |
KR102592471B1 (ko) | 2016-05-17 | 2023-10-20 | 에이에스엠 아이피 홀딩 비.브이. | 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법 |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US10453701B2 (en) | 2016-06-01 | 2019-10-22 | Asm Ip Holding B.V. | Deposition of organic films |
US10373820B2 (en) | 2016-06-01 | 2019-08-06 | Asm Ip Holding B.V. | Deposition of organic films |
US10388509B2 (en) | 2016-06-28 | 2019-08-20 | Asm Ip Holding B.V. | Formation of epitaxial layers via dislocation filtering |
US9773643B1 (en) | 2016-06-30 | 2017-09-26 | Lam Research Corporation | Apparatus and method for deposition and etch in gap fill |
US10062563B2 (en) | 2016-07-01 | 2018-08-28 | Lam Research Corporation | Selective atomic layer deposition with post-dose treatment |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US9793135B1 (en) | 2016-07-14 | 2017-10-17 | ASM IP Holding B.V | Method of cyclic dry etching using etchant film |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US10381226B2 (en) | 2016-07-27 | 2019-08-13 | Asm Ip Holding B.V. | Method of processing substrate |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (ko) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 가공 장치 및 그 동작 방법 |
US10177025B2 (en) | 2016-07-28 | 2019-01-08 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10395919B2 (en) | 2016-07-28 | 2019-08-27 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US10037884B2 (en) | 2016-08-31 | 2018-07-31 | Lam Research Corporation | Selective atomic layer deposition for gapfill using sacrificial underlayer |
US10090316B2 (en) | 2016-09-01 | 2018-10-02 | Asm Ip Holding B.V. | 3D stacked multilayer semiconductor memory using doped select transistor channel |
US10410943B2 (en) | 2016-10-13 | 2019-09-10 | Asm Ip Holding B.V. | Method for passivating a surface of a semiconductor and related systems |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10435790B2 (en) | 2016-11-01 | 2019-10-08 | Asm Ip Holding B.V. | Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
KR102546317B1 (ko) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기체 공급 유닛 및 이를 포함하는 기판 처리 장치 |
US10340135B2 (en) | 2016-11-28 | 2019-07-02 | Asm Ip Holding B.V. | Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride |
US11430656B2 (en) | 2016-11-29 | 2022-08-30 | Asm Ip Holding B.V. | Deposition of oxide thin films |
TWI655312B (zh) | 2016-12-14 | 2019-04-01 | 荷蘭商Asm知識產權私人控股有限公司 | 基板處理設備 |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9916980B1 (en) | 2016-12-15 | 2018-03-13 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
KR102700194B1 (ko) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US11094535B2 (en) | 2017-02-14 | 2021-08-17 | Asm Ip Holding B.V. | Selective passivation and selective deposition |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10224224B2 (en) | 2017-03-10 | 2019-03-05 | Micromaterials, LLC | High pressure wafer processing systems and related methods |
US10170321B2 (en) * | 2017-03-17 | 2019-01-01 | Applied Materials, Inc. | Aluminum content control of TiAIN films |
US10283353B2 (en) | 2017-03-29 | 2019-05-07 | Asm Ip Holding B.V. | Method of reforming insulating film deposited on substrate with recess pattern |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
US10103040B1 (en) | 2017-03-31 | 2018-10-16 | Asm Ip Holding B.V. | Apparatus and method for manufacturing a semiconductor device |
USD830981S1 (en) | 2017-04-07 | 2018-10-16 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate processing apparatus |
KR102457289B1 (ko) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US11501965B2 (en) | 2017-05-05 | 2022-11-15 | Asm Ip Holding B.V. | Plasma enhanced deposition processes for controlled formation of metal oxide thin films |
US10446393B2 (en) | 2017-05-08 | 2019-10-15 | Asm Ip Holding B.V. | Methods for forming silicon-containing epitaxial layers and related semiconductor device structures |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
KR102684628B1 (ko) | 2017-05-16 | 2024-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 유전체 상에 옥사이드의 선택적 peald |
US10622214B2 (en) | 2017-05-25 | 2020-04-14 | Applied Materials, Inc. | Tungsten defluorination by high pressure treatment |
US10504742B2 (en) | 2017-05-31 | 2019-12-10 | Asm Ip Holding B.V. | Method of atomic layer etching using hydrogen plasma |
US11401607B2 (en) * | 2017-06-02 | 2022-08-02 | Eugenus, Inc. | TiSiN coating method |
US11942365B2 (en) | 2017-06-02 | 2024-03-26 | Eugenus, Inc. | Multi-region diffusion barrier containing titanium, silicon and nitrogen |
US10886123B2 (en) | 2017-06-02 | 2021-01-05 | Asm Ip Holding B.V. | Methods for forming low temperature semiconductor layers and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
US10900120B2 (en) | 2017-07-14 | 2021-01-26 | Asm Ip Holding B.V. | Passivation against vapor deposition |
KR20190009245A (ko) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물 |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
US10312055B2 (en) | 2017-07-26 | 2019-06-04 | Asm Ip Holding B.V. | Method of depositing film by PEALD using negative bias |
US10605530B2 (en) | 2017-07-26 | 2020-03-31 | Asm Ip Holding B.V. | Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
KR102405723B1 (ko) | 2017-08-18 | 2022-06-07 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 및 고온 어닐링 챔버 |
US10276411B2 (en) | 2017-08-18 | 2019-04-30 | Applied Materials, Inc. | High pressure and high temperature anneal chamber |
US10236177B1 (en) | 2017-08-22 | 2019-03-19 | ASM IP Holding B.V.. | Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (ko) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR102401446B1 (ko) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US10269559B2 (en) | 2017-09-13 | 2019-04-23 | Lam Research Corporation | Dielectric gapfill of high aspect ratio features utilizing a sacrificial etch cap layer |
US10607895B2 (en) | 2017-09-18 | 2020-03-31 | Asm Ip Holdings B.V. | Method for forming a semiconductor device structure comprising a gate fill metal |
KR102630301B1 (ko) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치 |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
JP7112490B2 (ja) | 2017-11-11 | 2022-08-03 | マイクロマテリアルズ エルエルシー | 高圧処理チャンバのためのガス供給システム |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102443047B1 (ko) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 방법 및 그에 의해 제조된 장치 |
KR20200075892A (ko) | 2017-11-17 | 2020-06-26 | 어플라이드 머티어리얼스, 인코포레이티드 | 고압 처리 시스템을 위한 컨덴서 시스템 |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
JP7214724B2 (ja) | 2017-11-27 | 2023-01-30 | エーエスエム アイピー ホールディング ビー.ブイ. | バッチ炉で利用されるウェハカセットを収納するための収納装置 |
CN111344522B (zh) | 2017-11-27 | 2022-04-12 | 阿斯莫Ip控股公司 | 包括洁净迷你环境的装置 |
US10665685B2 (en) | 2017-11-30 | 2020-05-26 | Taiwan Semiconductor Manufacturing Co., Ltd. | Semiconductor device and fabrication method thereof |
US10290508B1 (en) | 2017-12-05 | 2019-05-14 | Asm Ip Holding B.V. | Method for forming vertical spacers for spacer-defined patterning |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
JP6979888B2 (ja) * | 2018-01-18 | 2021-12-15 | 東京エレクトロン株式会社 | タングステン膜の成膜方法及び成膜システム |
KR102695659B1 (ko) | 2018-01-19 | 2024-08-14 | 에이에스엠 아이피 홀딩 비.브이. | 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법 |
TWI799494B (zh) | 2018-01-19 | 2023-04-21 | 荷蘭商Asm 智慧財產控股公司 | 沈積方法 |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
US10535516B2 (en) | 2018-02-01 | 2020-01-14 | Asm Ip Holdings B.V. | Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
WO2019158960A1 (en) | 2018-02-14 | 2019-08-22 | Asm Ip Holding B.V. | A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (ko) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 장치 |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
JP7239598B2 (ja) | 2018-03-09 | 2023-03-14 | アプライド マテリアルズ インコーポレイテッド | 金属含有材料の高圧アニーリングプロセス |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
US11479674B2 (en) * | 2018-03-23 | 2022-10-25 | Nisshin Engineering Inc. | Composite particles comprising TiN powder and method for producing the composite particles |
KR102646467B1 (ko) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조 |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US10510536B2 (en) | 2018-03-29 | 2019-12-17 | Asm Ip Holding B.V. | Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber |
KR102501472B1 (ko) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
JP7146690B2 (ja) | 2018-05-02 | 2022-10-04 | エーエスエム アイピー ホールディング ビー.ブイ. | 堆積および除去を使用した選択的層形成 |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
US10950429B2 (en) | 2018-05-08 | 2021-03-16 | Applied Materials, Inc. | Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom |
TWI811348B (zh) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構 |
TWI816783B (zh) | 2018-05-11 | 2023-10-01 | 荷蘭商Asm 智慧財產控股公司 | 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構 |
KR102596988B1 (ko) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 및 그에 의해 제조된 장치 |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (zh) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 水氣降低的晶圓處置腔室 |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
KR102568797B1 (ko) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 시스템 |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR20210024462A (ko) | 2018-06-27 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 필름 및 구조체 |
JP7515411B2 (ja) | 2018-06-27 | 2024-07-12 | エーエスエム・アイピー・ホールディング・ベー・フェー | 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法 |
CN112292476A (zh) * | 2018-06-28 | 2021-01-29 | 东京毅力科创株式会社 | 成膜方法、成膜系统以及成膜装置 |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
KR102686758B1 (ko) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 및 반도체 장치의 제조 방법 |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US10748783B2 (en) | 2018-07-25 | 2020-08-18 | Applied Materials, Inc. | Gas delivery module |
US10483099B1 (en) | 2018-07-26 | 2019-11-19 | Asm Ip Holding B.V. | Method for forming thermally stable organosilicon polymer film |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102707956B1 (ko) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 박막 증착 방법 |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
US11450525B2 (en) * | 2018-09-14 | 2022-09-20 | Applied Materials, Inc. | Selective aluminum oxide film deposition |
CN110923659B (zh) * | 2018-09-20 | 2022-07-08 | 东京毅力科创株式会社 | 成膜方法及基板处理系统 |
CN110970344B (zh) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | 衬底保持设备、包含所述设备的系统及其使用方法 |
JP2020056104A (ja) | 2018-10-02 | 2020-04-09 | エーエスエム アイピー ホールディング ビー.ブイ. | 選択的パッシベーションおよび選択的堆積 |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (ko) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치 |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (ko) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
KR102546322B1 (ko) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 기판 처리 방법 |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US10381219B1 (en) | 2018-10-25 | 2019-08-13 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (ko) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판 지지 유닛 및 이를 포함하는 기판 처리 장치 |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US10636705B1 (en) | 2018-11-29 | 2020-04-28 | Applied Materials, Inc. | High pressure annealing of metal gate structures |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (ko) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치를 세정하는 방법 |
WO2020117462A1 (en) | 2018-12-07 | 2020-06-11 | Applied Materials, Inc. | Semiconductor processing system |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (ja) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム |
TWI819180B (zh) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | 藉由循環沈積製程於基板上形成含過渡金屬膜之方法 |
KR102727227B1 (ko) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
CN111524788B (zh) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | 氧化硅的拓扑选择性膜形成的方法 |
JP7509548B2 (ja) | 2019-02-20 | 2024-07-02 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基材表面内に形成された凹部を充填するための周期的堆積方法および装置 |
KR20200102357A (ko) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법 |
KR102626263B1 (ko) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치 |
KR102638425B1 (ko) | 2019-02-20 | 2024-02-21 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치 |
TWI842826B (zh) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備及處理基材之方法 |
KR20200108242A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체 |
KR20200108243A (ko) | 2019-03-08 | 2020-09-17 | 에이에스엠 아이피 홀딩 비.브이. | SiOC 층을 포함한 구조체 및 이의 형성 방법 |
US11742198B2 (en) | 2019-03-08 | 2023-08-29 | Asm Ip Holding B.V. | Structure including SiOCN layer and method of forming same |
KR20200116033A (ko) | 2019-03-28 | 2020-10-08 | 에이에스엠 아이피 홀딩 비.브이. | 도어 개방기 및 이를 구비한 기판 처리 장치 |
KR20200116855A (ko) | 2019-04-01 | 2020-10-13 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자를 제조하는 방법 |
US11965238B2 (en) | 2019-04-12 | 2024-04-23 | Asm Ip Holding B.V. | Selective deposition of metal oxides on metal surfaces |
US11447864B2 (en) | 2019-04-19 | 2022-09-20 | Asm Ip Holding B.V. | Layer forming method and apparatus |
KR20200125453A (ko) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 기상 반응기 시스템 및 이를 사용하는 방법 |
KR102726216B1 (ko) | 2019-05-01 | 2024-11-04 | 램 리써치 코포레이션 | 변조된 원자 층 증착 |
KR20200130118A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 비정질 탄소 중합체 막을 개질하는 방법 |
KR20200130121A (ko) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | 딥 튜브가 있는 화학물질 공급원 용기 |
KR20200130652A (ko) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조 |
JP7612342B2 (ja) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
JP7598201B2 (ja) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | ウェハボートハンドリング装置、縦型バッチ炉および方法 |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (ko) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법 |
KR20200143254A (ko) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조 |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (ko) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법 |
JP7499079B2 (ja) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | 同軸導波管を用いたプラズマ装置、基板処理方法 |
CN112216646A (zh) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | 基板支撑组件及包括其的基板处理装置 |
KR20210010307A (ko) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
KR20210010820A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 게르마늄 구조를 형성하는 방법 |
KR20210010816A (ko) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 라디칼 보조 점화 플라즈마 시스템 및 방법 |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
TWI839544B (zh) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | 形成形貌受控的非晶碳聚合物膜之方法 |
KR20210010817A (ko) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | 토폴로지-제어된 비정질 탄소 중합체 막을 형성하는 방법 |
TWI851767B (zh) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法 |
KR20210015655A (ko) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 및 방법 |
CN112309900A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112309899A (zh) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | 基板处理设备 |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN112323048B (zh) | 2019-08-05 | 2024-02-09 | Asm Ip私人控股有限公司 | 用于化学源容器的液位传感器 |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
JP2021031769A (ja) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | 成膜原料混合ガス生成装置及び成膜装置 |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
KR20210024423A (ko) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 홀을 구비한 구조체를 형성하기 위한 방법 |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (ko) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법 |
KR20210029090A (ko) | 2019-09-04 | 2021-03-15 | 에이에스엠 아이피 홀딩 비.브이. | 희생 캡핑 층을 이용한 선택적 증착 방법 |
KR102733104B1 (ko) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (zh) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法 |
KR20210042810A (ko) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | 활성 종을 이용하기 위한 가스 분배 어셈블리를 포함한 반응기 시스템 및 이를 사용하는 방법 |
TWI846953B (zh) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理裝置 |
TWI846966B (zh) | 2019-10-10 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成光阻底層之方法及包括光阻底層之結構 |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (zh) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽之拓撲選擇性膜形成之方法 |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR102225772B1 (ko) * | 2019-10-17 | 2021-03-09 | 연세대학교 원주산학협력단 | 폴리이미드와 그래핀 옥사이드 복합소재를 기반으로 한 고수율 저항 변화 메모리 소자의 제조방법 |
KR20210047808A (ko) | 2019-10-21 | 2021-04-30 | 에이에스엠 아이피 홀딩 비.브이. | 막을 선택적으로 에칭하기 위한 장치 및 방법 |
KR20210050453A (ko) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | 기판 표면 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
US11139163B2 (en) | 2019-10-31 | 2021-10-05 | Asm Ip Holding B.V. | Selective deposition of SiOC thin films |
KR20210054983A (ko) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템 |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
KR20210062561A (ko) | 2019-11-20 | 2021-05-31 | 에이에스엠 아이피 홀딩 비.브이. | 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템 |
CN112951697A (zh) | 2019-11-26 | 2021-06-11 | Asm Ip私人控股有限公司 | 基板处理设备 |
KR20210065848A (ko) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법 |
CN112885692A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
CN112885693A (zh) | 2019-11-29 | 2021-06-01 | Asm Ip私人控股有限公司 | 基板处理设备 |
JP7527928B2 (ja) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板処理装置、基板処理方法 |
KR20210070898A (ko) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치 |
US11885013B2 (en) | 2019-12-17 | 2024-01-30 | Asm Ip Holding B.V. | Method of forming vanadium nitride layer and structure including the vanadium nitride layer |
KR20210080214A (ko) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조 |
KR20210089079A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 채널형 리프트 핀 |
KR20210089077A (ko) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템 |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (ko) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | 고 종횡비 피처를 형성하는 방법 |
KR102675856B1 (ko) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 및 박막 표면 개질 방법 |
CN113284789A (zh) | 2020-02-03 | 2021-08-20 | Asm Ip私人控股有限公司 | 形成包括钒或铟层的结构的方法 |
TW202146882A (zh) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統 |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (ko) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | 수광 장치를 포함하는 기판 처리 장치 및 수광 장치의 교정 방법 |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
US11901222B2 (en) | 2020-02-17 | 2024-02-13 | Applied Materials, Inc. | Multi-step process for flowable gap-fill film |
TW202203344A (zh) | 2020-02-28 | 2022-01-16 | 荷蘭商Asm Ip控股公司 | 專用於零件清潔的系統 |
US11876356B2 (en) | 2020-03-11 | 2024-01-16 | Asm Ip Holding B.V. | Lockout tagout assembly and system and method of using same |
KR20210116240A (ko) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | 조절성 접합부를 갖는 기판 핸들링 장치 |
CN113394086A (zh) | 2020-03-12 | 2021-09-14 | Asm Ip私人控股有限公司 | 用于制造具有目标拓扑轮廓的层结构的方法 |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
TW202140832A (zh) | 2020-03-30 | 2021-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 氧化矽在金屬表面上之選擇性沉積 |
KR20210122684A (ko) | 2020-03-30 | 2021-10-12 | 에이에스엠 아이피 홀딩 비.브이. | 상이한 두 표면 상에 상이한 두 재료의 선택적 동시 증착 |
TWI862807B (zh) | 2020-03-30 | 2024-11-21 | 荷蘭商Asm Ip私人控股有限公司 | 相對於金屬表面在介電表面上之氧化矽的選擇性沉積 |
KR102755229B1 (ko) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | 박막 형성 방법 |
TW202146689A (zh) | 2020-04-03 | 2021-12-16 | 荷蘭商Asm Ip控股公司 | 阻障層形成方法及半導體裝置的製造方法 |
TW202145344A (zh) | 2020-04-08 | 2021-12-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於選擇性蝕刻氧化矽膜之設備及方法 |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
KR20210128343A (ko) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | 크롬 나이트라이드 층을 형성하는 방법 및 크롬 나이트라이드 층을 포함하는 구조 |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
KR20210132600A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템 |
TW202146831A (zh) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法 |
KR20210132576A (ko) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조 |
KR20210134226A (ko) | 2020-04-29 | 2021-11-09 | 에이에스엠 아이피 홀딩 비.브이. | 고체 소스 전구체 용기 |
KR20210134869A (ko) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Foup 핸들러를 이용한 foup의 빠른 교환 |
JP2021177545A (ja) | 2020-05-04 | 2021-11-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | 基板を処理するための基板処理システム |
KR20210141379A (ko) | 2020-05-13 | 2021-11-23 | 에이에스엠 아이피 홀딩 비.브이. | 반응기 시스템용 레이저 정렬 고정구 |
TW202146699A (zh) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統 |
TW202147383A (zh) | 2020-05-19 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | 基材處理設備 |
KR20210145078A (ko) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법 |
KR102702526B1 (ko) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | 과산화수소를 사용하여 박막을 증착하기 위한 장치 |
TW202201602A (zh) | 2020-05-29 | 2022-01-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
TW202212620A (zh) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 處理基板之設備、形成膜之方法、及控制用於處理基板之設備之方法 |
TW202218133A (zh) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成含矽層之方法 |
TW202217953A (zh) | 2020-06-30 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
KR102707957B1 (ko) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
KR20220010438A (ko) | 2020-07-17 | 2022-01-25 | 에이에스엠 아이피 홀딩 비.브이. | 포토리소그래피에 사용하기 위한 구조체 및 방법 |
TW202204662A (zh) | 2020-07-20 | 2022-02-01 | 荷蘭商Asm Ip私人控股有限公司 | 用於沉積鉬層之方法及系統 |
KR20220021863A (ko) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 방법 |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (zh) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 清潔基板的方法、選擇性沉積的方法、及反應器系統 |
TW202212623A (zh) | 2020-08-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統 |
TW202229601A (zh) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成圖案化結構的方法、操控機械特性的方法、裝置結構、及基板處理系統 |
TW202217045A (zh) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 沉積間隙填充流體之方法及相關系統和裝置 |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (ko) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 산화물 증착 방법 |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
TW202218049A (zh) | 2020-09-25 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | 基板處理方法 |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (ko) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | 실리콘 함유 재료를 증착하기 위한 증착 방법 및 장치 |
CN114293174A (zh) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | 气体供应单元和包括气体供应单元的衬底处理设备 |
TW202229613A (zh) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 於階梯式結構上沉積材料的方法 |
KR20220050048A (ko) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | 반도체 소자의 제조 방법, 및 ether-cat을 사용하는 기판 처리 장치 |
TW202223991A (zh) | 2020-10-21 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於可流動間隙填充之方法及裝置 |
KR20220053482A (ko) | 2020-10-22 | 2022-04-29 | 에이에스엠 아이피 홀딩 비.브이. | 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리 |
TW202223136A (zh) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | 用於在基板上形成層之方法、及半導體處理系統 |
TW202229620A (zh) | 2020-11-12 | 2022-08-01 | 特文特大學 | 沉積系統、用於控制反應條件之方法、沉積方法 |
TW202229795A (zh) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | 具注入器之基板處理設備 |
TW202235649A (zh) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | 填充間隙之方法與相關之系統及裝置 |
KR20220076343A (ko) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터 |
TW202233884A (zh) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | 形成臨限電壓控制用之結構的方法 |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202242184A (zh) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | 前驅物膠囊、前驅物容器、氣相沉積總成、及將固態前驅物裝載至前驅物容器中之方法 |
TW202226899A (zh) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | 具匹配器的電漿處理裝置 |
TW202231903A (zh) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成 |
JP2024511050A (ja) * | 2021-03-22 | 2024-03-12 | ユージェヌス インコーポレイテッド | コンフォーマルかつ平滑な窒化チタン層及びその形成方法 |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
US20230399743A1 (en) * | 2022-06-13 | 2023-12-14 | Tokyo Electron Limited | Cyclic Film Deposition Using Reductant Gas |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002252285A (ja) * | 2000-12-29 | 2002-09-06 | Hynix Semiconductor Inc | 半導体素子の製造方法 |
JP2004277864A (ja) * | 2003-03-18 | 2004-10-07 | Toshiba Corp | 成膜方法及び成膜装置 |
Family Cites Families (14)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR19990051335A (ko) * | 1997-12-19 | 1999-07-05 | 윤종용 | 원자층 증착에 의한 tialn의 증착방법 및 이 방법에 의해 형성되는 tialn 박막을 이용한 반도체 소자의 고유전체 커패시터 |
KR100386034B1 (ko) * | 2000-12-06 | 2003-06-02 | 에이에스엠 마이크로케미스트리 리미티드 | 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법 |
CN1319146C (zh) * | 2001-10-26 | 2007-05-30 | 应用材料公司 | 作为用于铜金属化的阻挡层的原子层沉积氮化钽和α相钽 |
US6858524B2 (en) * | 2002-12-03 | 2005-02-22 | Asm International, Nv | Method of depositing barrier layer for metal gates |
US6909137B2 (en) * | 2003-04-07 | 2005-06-21 | International Business Machines Corporation | Method of creating deep trench capacitor using a P+ metal electrode |
JP2007523994A (ja) * | 2003-06-18 | 2007-08-23 | アプライド マテリアルズ インコーポレイテッド | バリヤ物質の原子層堆積 |
CN100576474C (zh) * | 2004-07-20 | 2009-12-30 | 应用材料股份有限公司 | 以钽前驱物taimata进行含钽材料的原子层沉积 |
KR100722772B1 (ko) * | 2006-05-03 | 2007-05-30 | 삼성전자주식회사 | 박막 구조물 및 이의 박막 구조물 형성 방법과, 커패시터및 이의 커패시터 형성 방법 |
US7439180B2 (en) * | 2006-07-28 | 2008-10-21 | International Business Machines Corporation | Dispenser system for atomic beam assisted metal organic chemical vapor deposition (MOCVD) |
KR100746631B1 (ko) * | 2006-09-19 | 2007-08-08 | 주식회사 하이닉스반도체 | 메탈 퓨즈를 구비한 반도체 소자의 형성방법 |
KR100873890B1 (ko) * | 2006-11-17 | 2008-12-15 | 삼성전자주식회사 | 상변화 메모리 유닛, 이의 제조 방법 및 이를 포함하는상변화 메모리 장치 및 그 제조 방법 |
KR100867633B1 (ko) * | 2007-02-13 | 2008-11-10 | 삼성전자주식회사 | 티타늄 알루미늄 질화막의 형성 방법 및 이를 이용한상변화 메모리 소자의 형성 방법 |
KR100852237B1 (ko) * | 2007-03-15 | 2008-08-13 | 삼성전자주식회사 | 티타늄 알루미늄 질화막의 형성 방법 및 이를 이용한상변화 메모리 소자의 형성 방법 |
KR100852210B1 (ko) * | 2007-04-26 | 2008-08-13 | 삼성전자주식회사 | 커패시터 유닛 및 그 형성 방법 |
-
2009
- 2009-10-27 JP JP2011533422A patent/JP2012506947A/ja active Pending
- 2009-10-27 CN CN2009801429609A patent/CN102197459A/zh active Pending
- 2009-10-27 US US12/606,444 patent/US20100102417A1/en not_active Abandoned
- 2009-10-27 WO PCT/US2009/062174 patent/WO2010062582A2/en active Application Filing
- 2009-10-27 KR KR1020117012135A patent/KR20110084275A/ko not_active Application Discontinuation
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2002252285A (ja) * | 2000-12-29 | 2002-09-06 | Hynix Semiconductor Inc | 半導体素子の製造方法 |
JP2004277864A (ja) * | 2003-03-18 | 2004-10-07 | Toshiba Corp | 成膜方法及び成膜装置 |
Cited By (3)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JP2012119432A (ja) * | 2010-11-30 | 2012-06-21 | Hitachi Kokusai Electric Inc | 半導体デバイスの製造方法、基板処理装置および半導体デバイス |
JP2012219330A (ja) * | 2011-04-08 | 2012-11-12 | Ulvac Japan Ltd | 相変化メモリの形成装置、及び相変化メモリの形成方法 |
JP2017025412A (ja) * | 2015-07-24 | 2017-02-02 | エア プロダクツ アンド ケミカルズ インコーポレイテッドAir Products And Chemicals Incorporated | 13族金属又は半金属の窒化物膜の堆積方法 |
Also Published As
Publication number | Publication date |
---|---|
US20100102417A1 (en) | 2010-04-29 |
CN102197459A (zh) | 2011-09-21 |
WO2010062582A3 (en) | 2010-08-26 |
WO2010062582A2 (en) | 2010-06-03 |
KR20110084275A (ko) | 2011-07-21 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP2012506947A (ja) | 三元化合物の気相堆積方法 | |
TWI857952B (zh) | 在基板上形成電極之方法及包括電極之半導體裝置結構 | |
JP5965955B2 (ja) | 原子層堆積装置 | |
US7691742B2 (en) | Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA | |
US7585762B2 (en) | Vapor deposition processes for tantalum carbide nitride materials | |
KR100978993B1 (ko) | 순차 증착 기술을 이용한 내화 금속 층의 증착 방법 | |
KR100974114B1 (ko) | 내화 금속 실리콘 나이트라이드의 주기적 증착 | |
US7732327B2 (en) | Vapor deposition of tungsten materials | |
US20100120245A1 (en) | Plasma and thermal anneal treatment to improve oxidation resistance of metal-containing films | |
KR20080101745A (ko) | 텅스텐 재료들의 원자층 증착 | |
TW200419642A (en) | Integration of ALD/CVD barriers with porous low k materials | |
CN100576474C (zh) | 以钽前驱物taimata进行含钽材料的原子层沉积 | |
JP2020522611A (ja) | チタン、ケイ素及び窒素を含む多領域拡散バリア | |
WO2009042713A1 (en) | Vapor deposition of tungsten materials | |
US7989339B2 (en) | Vapor deposition processes for tantalum carbide nitride materials | |
US20220181148A1 (en) | Silicon precursors for silicon nitride deposition |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20121026 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20131031 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20131105 |
|
A02 | Decision of refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20140422 |