KR20210093163A - 고 종횡비 피처를 형성하는 방법 - Google Patents

고 종횡비 피처를 형성하는 방법 Download PDF

Info

Publication number
KR20210093163A
KR20210093163A KR1020210000341A KR20210000341A KR20210093163A KR 20210093163 A KR20210093163 A KR 20210093163A KR 1020210000341 A KR1020210000341 A KR 1020210000341A KR 20210000341 A KR20210000341 A KR 20210000341A KR 20210093163 A KR20210093163 A KR 20210093163A
Authority
KR
South Korea
Prior art keywords
carbon layer
carbon
layer
forming
recess
Prior art date
Application number
KR1020210000341A
Other languages
English (en)
Inventor
미츠야 우츠노
히로츠구 수기우라
요시오 수사
Original Assignee
에이에스엠 아이피 홀딩 비.브이.
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 에이에스엠 아이피 홀딩 비.브이. filed Critical 에이에스엠 아이피 홀딩 비.브이.
Publication of KR20210093163A publication Critical patent/KR20210093163A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76883Post-treatment or after-treatment of the conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry

Abstract

기판 상에 고 종횡비 피처를 형성하기 위한 방법 및 시스템이 개시된다. 예시적인 방법은 오목부 내에 제1 탄소 층을 형성하는 단계, 오목부 내에서 제1 탄소 층의 일부를 에칭하는 단계, 오목부 내에 제2 탄소 층을 형성하는 단계를 포함한다. 본 방법 또는 시스템을 사용하여 형성된 구조물이 또한 개시된다.

Description

고 종횡비 피처를 형성하는 방법{Method of forming high aspect ratio features}
본 개시는, 일반적으로 전자 소자의 제조에 사용하기에 적합한 구조물을 형성하는 방법에 관한 것이다. 보다 구체적으로, 본 개시의 예시는, 탄소 함유 재료를 사용하여 고 종횡비 피처를 포함한 구조물을 형성하는 방법에 관한 것이다.
반도체 소자와 같은 소자의 제조 동안, 고 종횡비 피처(예, 고 종횡비 트렌치 또는 갭)를 갖는 구조물을 형성하는 것이 종종 바람직하다. 피처를 형성하는 일부 기술은 패터닝, 에칭, 및 마스킹 층 제거를 포함한다. 일부 경우에, 50 또는 심지어 60 초과의 종횡비를 갖는 피처가 달성될 수 있다.
실리콘 함유 재료의 에칭은 다양한 피처의 형성에 사용될 수 있다. 피처를 형성한 비교 공정(500)이 도 5에 나타나 있으며, 도 5(a)는 그 위에 증착된 마스킹 층(532)을 갖는 중간 구조(522)를 제공하는 단계(S502)의 결과를 도시한다. 중간 구조(522)는, 위에 증착된 하나 이상의(예, 복수의) 층(526)을 포함한 기판(524)을 포함한다. 마스킹 층(532)은, 하나 이상의 층(526)의 최상단 층(530) 위에 놓인다. 하나 이상의 층(526)은 예를 들어 교번 층을 포함할 수 있다. 도 5에 나타낸 바와 같이, 하나 이상의 층(526)은 하나 이상의 실리콘 질화물(SiN) 층(들)(528)과 교번하는 하나 이상의 실리콘 산화물(SiO2) 층(들)(560)을 포함할 수 있지만, 다른 구성이 가능하고 단일층 또는 다른 재료를 포함한 층을 포함한다. 최상단 층(530)은 SiO2, SiN, 또는 다른 재료의 층일 수 있다.
도 5(b)에 도시된 바와 같이, 패터닝 단계(S504) 후에, 마스킹 층(532)은 그 안에 마스킹되지 않은 개구(534)를 갖는다. 도 5(c)에 도시된 바와 같이, 단계(S506)에서 에칭 후 마스킹 층(532)을 제거한 후, 피처(536)가 중간 구조(522)에 형성되어 중간 구조(523)를 형성한다. 도 5(d)에 도시된 바와 같이, 탄소 충진 단계(S508) 후에, 탄소 함유 재료(538)는 중간 구조(523)의 표면을 덮고 피처(536) 내에 있다. 도 5(e)에 도시된 바와 같이, 탄소 에칭 단계(S510) 후에, 피처(536)는 탄소 함유 재료(540)의 나머지 부분으로 충진된다. 탄소 함유 재료(540)의 나머지 부분은 후속 단계 동안 피처(536)가 원하지 않는 재료로 충진되는 것을 보호한다. 도 5(f)에 도시된 바와 같이, 중간 구조(582)를 제조하기 위해 상부 층(544)을 갖는 하나 이상의 추가 층(들)(542)의 증착 단계(S512) 후에, 피처(536)는 탄소 함유 재료(540)의 나머지 부분으로 충진된 상태로 유지됨으로써, 추가 층 증착 단계(S512)에서 증착된 재료로 피처(536)를 충진하는 것을 보호하고 중간 구조(582)의 무결성을 보호할 수 있다. 전술한 바와 같이, 하나 이상의 추가 층(들)(542)은 하나 이상의 SiN 층(들)(582)과 선택적으로 교번하는 하나 이상의 SiO2 층(들)(584)을 포함할 수 있다. 도 5(g)에 도시된 바와 같이, 마스킹 층 증착(S514)의 단계 후에, 마스킹 층(546)은 하나 이상의 추가 층(들)(542)의 상부 층(544)의 표면 상에 있다. 도 5(h)에 도시된 바와 같이, 패터닝 단계(S516) 이후에, 개구(548)가 마스킹 층(546)에 나타난다. 도 5(i)에 도시된 바와 같이, 추가 층을 에칭하는 단계(S518) 이후에, 피처(536) 내의 탄소 함유 재료(540)의 나머지 부분 위에 개구(550)가 있다. 또한, 도 5(j)에 도시된 바와 같이, 마스킹 층 및 탄소 층 제거의 단계(S520) 이후에, 에칭 단계(S506 및 S518)에서 각각 형성된 피처(536) 및 개구(550)를 포함하는 (피처) 오목부(552)가 있다.
도 5에 도시된 공정은 다양한 피처를 형성하는 데 효과적일 수 있지만, 도 6 및 도 7에 나타낸 바와 같이, 오목부의 빈약한 충진을 포함하여, 휨 및 낮은 처리량을 포함한 잔여 문제가 존재한다. 도 6에서, 불량한 충진의 예시가 나타나 있다. 도 6에 도시된 바와 같이, 기판(604) 상에 하나 이상의(예, 복수의) 층(606)을 갖는 구조물(602)이 탄소 함유 재료(612)를 그 위에 증착시켰다. 하나 이상의(예, 복수의) 층(606)은, 다른 구성이 가능하지만 SiO2 층(들)(610) 및/또는 SiN 층(들)(608)을 교대로 포함할 수 있다. 하나 이상의 층(606)의 상부 층(620)은 탄소 함유 재료(612) 아래에 놓인 표면을 갖는다. 탄소 함유 재료(612)는 오목부(614)를 적절하게 채우지 못하여, 병목 현상(탄소 함유 재료가 상부 층(620)의 표면의 상부 표면으로 탄소 층의 부분적인 제거 후에 오목부(614)의 개구를 덮지 못하는 현상)을 초래할 수 있다. 병목 현상은, 나중에 에칭하는 단계 중에 과도한 에칭 및/또는 휨을 야기할 수 있다.
도 7에 도시된 바와 같이, 구조물(702)은 기판(704) 상에 형성된 하나 이상의(예, 복수의) 층(706)을 갖는다. 하나 이상의(예, 복수의) 층(706)은, 다른 구성이 가능하지만 SiO2 층(들)(710) 및/또는 SiN 층(들)(708)을 교대로 포함할 수 있다. 도 7(a)에 도시된 바와 같이, 탄소 함유 층(712)은 구조물(702)을 덮고 오목부(714) 내에 있다. 도 7(b)에 도시된 바와 같이, 구조물(702)은 높이(h)를 갖는다. 높이(h)가 증가함에 따라, 탄소 함유 재료를 증착하는 데 필요한 시간 및/또는 탄소 함유 층(712)을 제거하는 데 필요한 시간이 증가하여, 처리량이 불량하게 된다. 따라서, 오목부(714)의 완전한 충진은 과도한 에칭을 피할 수 있지만, 고 종횡비 피처가 오목부로부터 탄소 층을 완전히 제거하기 위해 긴 시간의 탄소 증착 및/또는 제거를 요구할 수 있기 때문에, 불량한 산출량을 또한 야기할 수 있다.
이 부분에서 진술된 문제점 및 해결책에 대한 임의의 논의를 포함하여 모든 논의는 단지 본 개시에 대한 맥락을 제공하는 목적으로 본 개시에 포함되었고, 그 논의의 일부 또는 전부가 본 발명이 이루어진 당시에 알려졌거나 달리 종래 기술을 구성하고 있음을 인정하는 것으로 받아들여져서는 안 된다.
본 개시의 다양한 구현예는, 전자 소자의 형성에 사용하기 적합한, 고 종횡비를 갖는 구조물을 제조하는 방법에 관한 것이다. 본 개시의 다양한 구현예가 이전의 방법과 구조물의 결점에 접근하는 방식을 아래에서 보다 상세히 논의하는 동안, 본 개시의 예시적인 구현예는 기판 표면 상의 피처를 탄소 함유 재료로 형성하는 개선된 방법을 제공하고/제공하거나, 탄소를 포함하는 층 또는 구조물을 형성하기 위한 개선된 방법을 제공한다. 예시적인 방법은 구조물의 형성 중에 휨을 방지하거나 완화할 수 있고/있거나, 예를 들어 탄소 증착 및/또는 에칭 시간을 감소시킴으로써 비교적 높은 처리량을 나타낼 수 있다.
본 개시의 다양한 구현예에 따라, 구조물(예, 하나 이상의 고 종횡비 피처를 포함하는 구조물)을 제조하는 방법이 제공된다. 예시적인 방법은, 표면을 포함한 하나 이상의 층과 상기 하나 이상의 층 내에 형성된 오목부를 포함한 기판을 반응기의 반응 공간에 제공하는 단계; 상기 표면 상에 제1 탄소 층을 형성함으로써 상기 오목부를 부분적으로 충진하고(상기 제1 탄소 층은 초기에 유동성이 있음), 상기 오목부 내에서 상기 제1 탄소 층의 일부를 부분적으로 에칭하는 단계; 및 상기 제1 탄소 층의 나머지 부분 위에 놓인 제2 탄소 층을 형성하는 단계를 포함할 수 있다. 제2 탄소 층도 또한 초기에 유동성이 있을 수 있다. 제1 탄소 층의 초기 유동성은 제2 탄소 층의 초기 유동성보다 클 수 있다. 이러한 예시적인 방법에서, 제1 탄소 층의 일부를 에칭하는 단계는, 오목부 내의 제1 탄소 층의 표면이 오목부의 상부 아래에 있을 때까지, 제1 탄소 층을 에칭하는 단계를 포함할 수 있다. 예시적인 방법은, 상기 제2 탄소 층을 부분적으로 제거하는(예, 에칭하는) 단계(상기 제2 탄소 층의 나머지 부분은 상기 오목부의 개구와 동일 평면에 있거나 실질적으로 동일 평면에 있음); 상기 제2 탄소 층의 나머지 부분 위에 놓이는 적어도 하나의 추가 층을 증착하는 단계; 상기 적어도 하나의 추가 층을 에칭해서 상기 제2 탄소 층의 나머지 부분에 개구를 형성하는 단계; 및 제2 탄소 층의 나머지 부분과 제1 탄소 층의 나머지 부분을 제거함으로써 고 종횡비 피처를 형성하는 단계를 추가로 포함할 수 있다. 일부 경우에, 제1 탄소 층은 오목부의 적어도 상부 표면으로 초기에 연장될 수 있는(예, 동일 평면 또는 실질적으로 동일 평면일 수 있는) 상부 표면을 가질 수 있다. 본 개시의 추가 실시예에 따라, 제2 탄소 층은 오목부를 기판의 적어도 상부 표면까지 충진할 수 있다. 제2 탄소 층의 일부를 에칭하는 단계는, 오목부 내의 제2 탄소 층의 표면이 오목부 개구를 정의하는 표면과 동일 평면 또는 실질적으로 동일 평면에 있을 때까지 제2 탄소 층을 에칭하는 단계를 또한 포함할 수 있다. 예시적인 방법은 처리를 포함할 수 있고, 이는 플라즈마 처리, 예를 들어 아르곤, 헬륨, 질소 및 수소 중 하나 이상으로부터 형성된 종으로 처리하는 것을 포함할 수 있다. 상기 처리, 예를 들어 플라즈마 처리는 제1 및/또는 제2 탄소 층 형성 단계의 일부일 수 있다. 다양한 에칭 단계는 플라즈마 에칭의 하나 이상의 단계, 예를 들어 하나 이상의 플라즈마 강화 에칭 공정을 사용하여 수행될 수 있다.
본 개시의 추가 예시적인 구현예에 따라, 구조물은 본원에 설명된 방법에 따라 적어도 부분적으로 형성된다.
본 개시의 추가 예시적인 구현예에 따라, 시스템은, 본원에 설명된 바와 같이 구조물을 형성하기 위해 또는 방법을 수행하기 위해 제공된다.
본 발명은 개시된 임의의 특정 구현예(들)에 제한되지 않으며, 이들 및 다른 구현예는 첨부된 도면을 참조하는 특정 구현예의 다음의 상세한 설명으로부터 당업자에게 쉽게 분명해질 것이다.
다음의 예시적인 도면과 연관하여 고려되는 경우에 발명의 상세한 설명 및 청구범위를 참조함으로써, 본 개시의 예시적인 구현예에 대해 더욱 완전한 이해를 얻을 수 있다.
도 1은, 본 개시의 구현예에 따른 고 종횡비 피처를 포함하는 구조물을 제조하는 방법(공정)을 나타낸다.
도 2는 본 개시의 예시적인 구현예에 따라 수직 정렬된 측벽을 갖는 피처를 갖는 구조물을 개략적으로 나타낸다.
도 3은 립과 실질적으로 수직 정렬된 측벽을 갖는 피처를 갖는 구조물을 개략적으로 나타낸다.
도 4는 오버행과 수직 정렬된 측벽을 갖는 구조물을 개략적으로 나타낸다.
도 5는, 단일 탄소 함유 재료를 사용하여 구조물 내에 피처를 형성하는 비교 공정을 나타낸다.
도 6은 탄소 함유 재료로 오목부를 불완전하게 충진하는 것을 나타낸다.
도 7은 탄소 함유 재료로 충진된 고 종횡비 피처를 나타낸다.
도 8은 본 개시의 예시적 구현예에 따른 시스템을 나타낸다.
도면의 요소는 간략하고 명료하게 도시되어 있으며, 반드시 축적대로 도시되지 않았음을 이해할 것이다. 예를 들어, 본 개시에서 예시된 구현예의 이해를 돕기 위해 도면 중 일부 구성 요소의 치수는 다른 구성 요소에 비해 과장될 수 있다.
특정 구현예 및 실시예가 아래에 개시되었지만, 당업자는 본 발명이 구체적으로 개시된 구현예 및/또는 본 발명의 용도 및 이들의 명백한 변형물 및 균등물을 넘어 확장된다는 것을 이해할 것이다. 따라서, 개시된 발명의 범주는 후술되고 구체적으로 개시된 구현예에 의해 제한되지 않도록 의도된다.
본 개시는 일반적으로, 재료를 증착하는 방법, 구조물 및 피처를 형성하는 방법, 및 상기 방법을 사용하여 형성된 구조물 및 피처, 그리고 상기 방법을 수행하고/수행하거나 상기 구조물 및/또는 피처를 형성하기 위한 시스템에 관한 것이다. 예로서, 본원에 설명된 방법은 오목부 또는 갭(예, 트렌치 또는 비아)과 같은 피처를 형성하는 데 사용될 수 있다. 피처의 형성은, 추가 층이 증착될 수 있는 베이스를 형성하기 위해 탄소 함유(예, 유기) 재료와 같은 재료로 오목부와 같은 기존의 피처를 마스킹 또는 차단(예, 적어도 부분적으로 충진)하는 단계를 포함할 수 있다. 용어 갭과 오목부는 본원에서 사용되는 바와 같이 상호 교환적으로 사용될 수 있다.
탄소 함유 재료로 오목부를 불완전하게 (부분적으로) 충진하면 공극이 형성될 수 있다. 본원에 개시된 구현예는 공극 형성을 이용하여 효과적인 탄소 베이스를 제공함으로써, 오목부와 같은 고 종횡비 피처를 포함하는 구조물의 효율적인 형성을 허용한다. 본원에 개시된 일부 구현예에서, 예를 들어 60 내지 70의 종횡비를 포함한 피처가 형성될 수 있다. 일부 구현예에서, 높은 처리량이 달성될 수 있다. 일부 구현예에서, 휨 및/또는 과도한 에칭은 완화되거나 심지어 회피될 수 있다.
본 개시에서, "가스"는 정상 온도 및 압력에서 가스, 증기화된 고체 및/또는 증기화된 액체인 재료를 지칭할 수 있으며, 맥락에 따라 단일 가스 또는 가스 혼합물로 구성될 수 있다. 공정 가스 이외의 가스, 즉 샤워헤드, 다른 가스 분배 장치 등과 같은 가스 분배 어셈블리를 통과하지 않고 유입되는 가스는, 예를 들어 반응 챔버를 밀폐하기 위해 사용될 수 있고, 희귀 가스와 같은 밀폐 가스를 포함한다. 일부 경우에서, 예컨대 재료의 증착 맥락에서, 용어 "전구체"는 다른 화합물을 생성하는 화학 반응에 참여하는 화합물을 지칭할 수 있고, 특히 막 매트릭스 또는 막의 주 골격을 구성하는 화합물을 지칭할 수 있는 반면, 용어 "반응물"은 일부 경우에서 전구체 이외의 화합물을 지칭할 수 있데, 이는 전구체를 활성화시키거나, 전구체를 개질하거나, 전구체의 반응을 촉진시키고, 반응물은 (O, H, N, C와 같은) 원소를 막 매트릭스에 제공할 수 있고, 예를 들어 무선 주파수(RF) 전력이 인가되는 경우에 막 매트릭스의 일부가 될 수 있다. 일부 경우에서, 용어 전구체 및 반응물은 상호 교환적으로 사용될 수 있다. 용어 "불활성 가스"는 상당한 정도로 화학 반응에 참여하지 않고/않거나 예를 들어 RF 전력이 인가될 경우 전구체를 여기시키는 가스를 지칭하나, 반응물과는 달리 상당한 정도로 막 매트릭스의 일부가 될 수 없다.
본원에서 사용되는 바와 같이, 용어 "기판"은, 형성하기 위해 사용될 수 있는, 또는 그 위에 소자, 회로, 또는 막이 형성될 수 있는, 임의의 하부 재료 또는 재료들을 지칭할 수 있다. 기판은 실리콘(예, 단결정 실리콘), 게르마늄과 같은 다른 IV족 재료, GaAs와 같은 화합물 반도체 재료와 같은 벌크 재료를 포함할 수 있고, 벌크 재료 위에 놓이거나 그 아래에 놓인 하나 이상의 층을 포함할 수 있다. 또한, 기판은, 기판의 층 또는 벌크 재료의 적어도 일부 내에 또는 그 위에 형성된 다양한 피처, 예컨대 갭(예, 오목부 또는 비아), 라인 또는 돌출부, 예컨대 이들 사이에 형성된 갭을 갖는 라인 등을 포함할 수 있다. 예로서, 하나 이상의 피처는, 약 100 nm 내지 약 200 nm의 폭, 약 5,000 nm 내지 약 15,000 nm의 깊이 또는 높이, 및/또는 약 25 내지 150의 종횡비를 가질 수 있다. 추가 예로서, 기판은 벌크 재료 및 벌크 재료 위에 놓인, 예를 들어 실리콘 산화물 및 실리콘 질화물의 하나 이상의 층을 포함할 수 있다. 하나 이상의 층은 오목부 및 표면을 포함할 수 있다.
일부 구현예에서, "막"은 두께 방향에 수직인 방향으로 연장되는 층을 지칭한다. 일부 구현예에서, "층"은 표면에 형성된 특정 두께를 갖는 재료를 지칭하거나, 막 또는 막이 아닌 구조체의 동의어일 수 있다. 막 또는 층은 특정 특성을 갖는 별개의 단일막 또는 층, 또는 다수의 막 또는 층으로 구성될 수 있고, 인접하는 막 또는 층 사이의 경계는 명확하거나 그렇지 않을 수 있으며, 물리적, 화학적, 및/또는 임의의 특성, 형성 공정 및 시퀀스, 및/또는 인접하는 막 또는 층의 기능 또는 목적에 기반하여 구축되거나 되지 않을 수 있다. 층 또는 막은 연속적일 수 있거나 또는 그렇지 않을 수 있다.
본원에서 사용되는 바와 같이, 용어 "탄소 층" 또는 "탄소 함유 재료"는, 화학식이 탄소를 포함하는 것으로 나타낼 수 있는 층 또는 재료를 지칭할 수 있다. 탄소 함유 재료를 포함하는 층은, 질소 및 수소 중 하나 이상과 같이 다른 원소를 포함할 수 있다.
본원에서 사용되는 바와 같이, 용어 "구조물"은 부분적으로 또는 완전히 제조된 소자 구조물을 지칭할 수 있다. 예로서, 구조물은 그 위에 형성된 하나 이상의 층 및/또는 피처를 갖는 기판을 포함할 수 있다.
플라즈마 강화 화학 기상 증착(PECVD)은, 기판 상에 박막을 가스 상태(증기)에서 고체 상태로 증착시키는 데 사용되는 공정이다. 공정에는 반응성 가스의 플라즈마 생성 후 발생하는 화학 반응이 수반된다. 플라즈마는 반응 가스 및/또는 불활성 가스로 충진되는 공간에 연속적으로 인가된다. 일부 구현예에서, 직접식 및/또는 원격식 플라즈마를 생성할 수 있는 임의 유형의 플라즈마 소스가 마이크로파 및 DC 소스를 포함하여 사용될 수 있지만, 무선(RF) 플라즈마 소스가 플라즈마를 생성하는데 사용된다. 일부 구현예에서, 원격식 생성된 플라즈마가 반응성 종을 공급하는데 사용될 수 있다. 다른 구현예(예, 펄스 PECVD)에서, 오직 하나의 반응물만, 전구체 또는 반응성 종이 챔버에 연속적으로 제공되는 반면, 다른 반응물은 간헐적으로 펄스화된다.
본 개시에서, "연속적으로"는, 진공 파괴가 없으며, 시간적으로 중단이 없고, 임의의 물질의 개입 단계가 없으며, 다음 단계로서 그 직후에 처리 조건의 변경이 없고, 또는 일부 구현예에서 그리고 문맥에 따라 두 개의 구조물 사이에 두 개의 구조물 이외의 분리된 물리적 또는 화학적 구조물이 개입하지 않음을 지칭한다.
유동성(예를 들어, 초기 유동성)은 다음과 같이 결정될 수 있다:
표 1
Figure pat00001
여기서 B/T는, 오목부를 충진하거나 부분적으로 충진하기 전에, 오목부가 형성되는 상부 표면 상에 증착된 막의 두께에 대한 오목부의 하부에 증착된 막의 두께의 비율을 지칭한다. 일반적으로, 유동성은 약 1 이하의 종횡비를 갖는 넓은 오목부를 사용하여 평가되는데, 일반적으로 오목부의 종횡비가 높아질수록 B/T 비율이 높아지기 때문이다. B/T 비는 오목부의 종횡비가 더 높을 경우에 더 높아질 수 있다. 본원에서 사용되는 바와 같이, "유동성" 막 또는 물질은 양호한 유동성을 나타낸다.
아래에 더 상세하게 기재된 바와 같이, 막의 유동성은, 예를 들어 휘발성 탄화수소 전구체가 플라즈마에 의해 중합되고 기판의 표면 상에 증착되는 경우에 일시적으로 얻어질 수 있되, 상기 가스 전구체는 중합을 개시하도록 플라즈마 가스 방전에 의해 제공되는 에너지에 의해 활성화되거나 단편화되고, 생성된 고분자 재료가 기판의 표면 상에 증착되는 경우에 재료는 일시적으로 유동성 거동을 나타낸다. 일부 경우에서 증착 단계가 완료될 때, 유동성 막은 더 이상 흐르지 못하고(또는 감소된 유동성을 나타내고), 고형화되어서 별도의 고형화 공정을 사용하지 않을 수 있다.
본 개시에서, 변수의 임의의 두 수치가 상기 변수의 실행 가능한 범위를 구성할 수 있고, 표시된 임의의 범위는 끝점을 포함하거나 배제할 수 있다. 추가적으로, 표시된 변수의 임의의 값은 ("약"의 표시 여부에 관계없이) 정확한 값 또는 대략적인 값을 지칭할 수 있고 등가를 포함할 수 있으며, 일부 구현예에서는 평균, 중간, 대표, 다수 등을 지칭할 수 있다. 또한, 본 개시에서, 용어 "포함한", "의해 구성되는", 및 "갖는"은 일부 구현예에서 "통상적으로 또는 대략적으로 포함하는", "포함하는", "본질적으로 이루어지는", 또는 "이루어지는"을 독립적으로 지칭할 수 있다. 본 개시에서, 임의의 정의된 의미는 일부 구현예에서 보통이고 관습적인 의미를 반드시 배제하는 것은 아니다.
이제 도면을 참조하면, 도 1은, 두 개 이상의 탄소 함유 층을 사용하는 고 종횡비 피처를 포함한 구조물을 형성하는 방법(100)을 나타낸다. 단계(S102) 동안에, 기판(124)을 포함한 제1 중간 구조(122)는 반응기의 반응 챔버 내에 제공된다.
도 1(a)에 도시된 바와 같이, 단계(S102) 동안에, 기판(124)을 갖는 제1 중간 구조(122)를 반응기의 반응 챔버 내에 제공한다. 제1 중간 구조(122)는, 오목부(138)가 안에 형성된 하나 이상의(예를 들어, 복수의) 제1 층(126)을 포함한다. 하나 이상의(예를 들어, 복수의) 제1 층(126)은, 예를 들어 하나 이상의 교번 층을 포함할 수 있다. 예시로서, 하나 이상의 제1 층(126)은, 하나 이상의 실리콘 질화물 층(130)과 교번하는 하나 이상의 실리콘 산화물 층(들)(128)을 포함할 수 있다. 다른 구성이 가능하다. 하나 이상의 제1 층(126)(예, 실리콘 산화물 및/또는 실리콘 질화물 층)은 CVD, PECVD, 또는 PEALD와 같은 임의의 적합한 공정에 의해 증착될 수 있다. 복수의 층(126)을 참조하여 나타냈지만, 제1 중간 구조(122)는 복수의 층(126) 대신에 단일 재료 층을 포함할 수 있다. 실리콘 산화물 및/또는 실리콘 질화물을 층 재료로서 사용하여 나타내었지만, 다른 재료가 사용될 수 있다. 제1 중간 구조(122)는 후속 단계를 위한 기판이 될 수 있다.
제1 중간 구조(122)는 제1 상부 층(132)을 갖는다. 오목부(138)는, 전술한 기술, 예컨대 마스킹, 패터닝, 및 에칭 기술을 사용하여, 하나 이상의 제1 층(126) 내에 형성될 수 있다. 오목부(138)는 오목부 측벽(134) 및 개구(136)를 갖는다. 일부 구현예에서, 오목부 측벽(134)은 수직 또는 실질적으로 수직일 수 있다. 일부 구현예에서, 개구(136)는 하나 이상의(예, 복수의) 제1 층(126)의 제1 상부 층(132)의 표면과 동일 평면 또는 실질적으로 동일 평면에 있다.
도 1(b)에 도시된 바와 같이, 탄소 함유 재료를 증착하는 제1 단계(S104) 후에, 제1 중간 구조(122)는 제1 상부 층(132) 상에 제1 탄소 함유 재료(140)를 증착하였으며, 이는 오목부(138)를 부분적으로 충진해서 오목부(138) 내의 제1 탄소 함유 재료(140)의 일부 아래에 공극(142)을 형성하도록 유동성을 갖는다. 단계(S104) 동안 제1 중간 구조(122) 상에 제1 탄소 함유 재료(140)를 증착하기 위한 예시적인 기술은, PECVD 기술을 포함한다.
제1 탄소 함유 재료(140)를 증착하는 단계 중에 사용되는 PECVD 기술은, RF 전력을 인가하여 반응 챔버 내에 플라즈마를 형성하는 동안 반응 챔버에 적합한 전구체를 도입하는 단계를 포함할 수 있다. RF 전력의 주파수는 약 50 W 내지 약 300 W의 전력으로, 약 2.0 MHz 내지 약 27.12 MHz의 범위에 있을 수 있다. 일부 구현예에서, 직류(DC) 또는 RF 바이어스가, 예를 들어, 서셉터 및/또는 가스 분배 장치 중 하나 이상과 같은 전극을 통해 인가될 수 있다. 일부 이러한 경우에, RF 주파수는 단계(S104)의 적어도 일부분 동안 서셉터 스테이지/서셉터 상에 인가될 수 있다. 단계(S104) 동안 서셉터 스테이지/서셉터에 인가된 RF 주파수는 약 400 kHz 내지 약 800 kHz의 범위일 수 있다. 하나 이상의 불활성 가스는, 제1 탄소 함유 재료(140)를 증착하는 단계 중에 반응 챔버 내로 도입될 수 있다. 하나 이상의 불활성 가스는 아르곤, 헬륨, 질소 및/또는 수소를 포함할 수 있다.
전술한 바와 같이, 단계(S104) 동안, 전구체는 반응 챔버에 도입될 수 있다. 적합한 전구체는 화학식 CxHyNz로 표현될 수 있으며, 여기서 x는 2 이상의 자연수일 수 있고, y는 자연수일 수 있고, z는 0 또는 자연수일 수 있다. 예를 들어, x는 약 2 내지 약 15 범위일 수 있고, y는 4 내지 약 30 범위일 수 있고, z는 약 0 내지 약 10일 수 있다. 추가적으로 그리고 대안적으로, 전구체는, 두 개 이상의 탄소 원자 및 하나 이상의 수소 원자를 갖는 사슬 또는 환형 분자를 포함할 수 있으며, 분자는 화학식 CxHyNz로 표시된다. 특정 예시로서, 전구체는 하나 이상의 이중 결합 및/또는 하나 이상의 방향족 탄화수소 구조일 수 있거나 이를 포함할 수 있다.
일부 구현예에서, 제1 탄소 함유 재료(140)는 높은 유동성 및 낮은 에칭 선택도를 가질 수 있다. 에칭 선택도는 다른 재료에 대한 하나의 재료의 에칭 속도의 비를 말한다. 일부 구현예에서, 상기 하나 이상의(예, 복수의) 층(126) 내의 재료에 대한 탄소 함유 재료(140)의 에칭 선택도는 낮으며, 예를 들어, 2.0 미만이다. 제1 탄소 함유 재료(140)의 초기 유동성은 표 1에 정의된 바와 같이 '매우 양호함'보다 클 수 있다.
제1 탄소 함유 재료(140)를 증착하는 것 이외에, 단계(S104)는 선택적으로 처리 단계를 포함할 수 있다. 일부 구현예에서, 단계(S104)는 플라즈마 처리 또는 약한 플라즈마 처리를 포함하지 않을 수 있다. 약한 플라즈마 처리는, RF 전력을 인가하여 아르곤, 헬륨, 질소 및/또는 수소 중 하나 이상으로부터 여기된 종을 형성하면서 제1 탄소 함유 재료를 아르곤, 헬륨, 질소 및/또는 수소 중 하나 이상과 같은 불활성 가스와 접촉시키는 단계를 포함할 수 있다. 일부 구현예에서, 단계(S104) 동안 약한 플라즈마 처리는 약 50 W 내지 약 300 W의 (예를 들어, 연속적인) RF 전력을 갖는 플라즈마에 대한 제1 중간 구조(122)의 노출을 포함할 수 있다. 단계(S104) 동안 사용된 RF 주파수는 약 2.0 MHz 내지 약 27.12 MHz일 수 있다. 일부 구현예에서, 단계(S104)의 처리 단계 동안 플라즈마에 대한 제1 탄소 함유 재료(140)의 노출은 약 1 내지 10초, 예를 들어 약 1.0 내지 약 10.0초의 범위일 수 있다. (초들은 "초"로 본원에서 약칭될 수 있음) 단계(S104) 동안, 반응 챔버 내의 온도는 100°C 미만일 수 있다.
도 1(c)에 도시된 바와 같이, 부분 탄소 층 제거(S106)의 제1 단계 후에, 제1 탄소 함유 재료(144)의 나머지 부분은 오목부(138) 내에 남아 있다. 공극(142)은 제1 탄소 함유 재료(144)의 나머지 부분 아래에 형성될 수 있다. 따라서, 제1 탄소 함유 재료(144)의 나머지 부분은 오목부(138) 내에 공극(142)을 형성한다. 일부 구현예에서, 제1 탄소 함유 재료(144)의 나머지 부분 위의 오목부(138)의 일부분은 제1 부분 탄소 층 제거 단계(S106) 후에 개방된 상태로 유지될 수 있다. 플라즈마 에칭은 단계(S106) 동안 부분 탄소 층 제거에 사용될 수 있다. 단계(S106) 동안에, 에천트가 반응 챔버로 흐를 수 있다. 예시적인 에천트는 산소(O2), 또는 N2O와 같은 산소 함유 가스, 또는 수소(H2), 또는 NH3와 같은 수소 함유 가스를 포함한다. 플라즈마는 단계(S106) 중에 산소 및/또는 수소 함유 활성 종을 생성할 수 있다. 일부 경우에, 단계(S106) 동안 사용된 가스는 불활성 가스, 예컨대 헬륨, 아르곤, 또는 기타 내에 약 5.0% 내지 약 50.0%의 산소 함유 가스 및/또는 수소 함유 가스를 포함할 수 있다. 단계(S106) 동안, 가스(예, 산소 함유 가스, 수소 함유 가스, 및/또는 임의의 불활성 가스)의 유량은 약 1.0 slm 내지 약 4.0 slm의 범위일 수 있다.
활성 종은, 직접식 및/또는 원격식 플라즈마를 사용하여 단계(S106) 동안에 가스(예, 산소 함유 가스 또는 수소 함유 가스 및/또는 임의의 불활성 가스)로부터 형성될 수 있다. 단계(S106) 동안에, 전극에 인가된 전력은 약 50 W 내지 약 400 W 범위일 수 있다. 단계(S106) 동안에 인가된 전력의 주파수는 약 2.0 MHz 내지 약 27.12 MHz 범위일 수 있다.
도 1(d)에 도시된 바와 같이, 제2 탄소 함유 재료(146)를 증착하는 단계를 포함한 제2 단계(S108) 후에, 오목부(138)는 제2 탄소 함유 재료(146)로 부분 충진되고, 이는 하나 이상의(예, 복수의) 제1 층(126)의 상부 층(132)의 표면(176) 상에 증착될 수 있다. 단계(S108) 동안에 하나 이상의(예, 복수의) 제1 층(126)의 상부 층(132) 상에 제2 탄소 함유 재료(146)를 증착하기 위한 예시적인 기술은, PECVD 기술을 포함한다. 제2 탄소 함유 재료(146)를 증착하는 단계 중에 사용되는 PECVD 기술은, RF 전력을 인가하여 반응 챔버 내에 플라즈마를 형성하는 동안 반응 챔버에 적합한 전구체를 도입하는 단계를 포함할 수 있다. RF 전력의 주파수는 약 50 W 내지 약 300 W의 전력으로, 약 2.0 MHz 내지 약 27.12 MHz의 범위에 있을 수 있다. 일부 구현예에서, 직류(DC) 또는 RF 바이어스가, 예를 들어, 서셉터 및/또는 가스 분배 장치 중 하나 이상과 같은 전극을 통해 인가될 수 있다. 일부 이러한 경우에, RF 주파수는 단계(S108)의 적어도 일부분 동안 서셉터 스테이지/서셉터 상에 인가될 수 있다. 단계(S108) 동안 서셉터 스테이지/서셉터에 인가된 RF 주파수는 약 400 kHz 내지 약 800 kHz의 범위일 수 있다. 일부 구현예에서, 탄소 층의 막 밀도는, 예를 들어 서셉터 스테이지/서셉터에 인가되는 바와 같이, 무선 주파수(RF) 전력, 플라즈마에 대한 노출 시간, 또는 바이어스 RF 전력 중 하나 이상을 조작함으로써, 조절되거나 제어되거나 조작될 수 있다.
하나 이상의 불활성 가스는, 제2 탄소 함유 재료(146)를 증착하는 단계(S108) 중에 반응 챔버 내로 도입될 수 있다. 하나 이상의 불활성 가스는 아르곤, 헬륨, 질소 및/또는 수소를 포함할 수 있다.
단계(S108) 동안, 전구체는 반응 챔버에 도입될 수 있다. 제1 탄소 함유 재료(140)를 증착하는 데 사용되는 것과 동일하거나 상이할 수 있는 제2 탄소 함유 재료(146)를 증착하기 위한 적합한 전구체는, 화학식 CxHyNz로 나타낼 수 있으며, 여기서 x는 2 이상의 자연수일 수 있고, y는 자연수일 수 있고, z는 0 또는 자연수일 수 있다. 예를 들어, x는 약 2 내지 약 15 범위일 수 있고, y는 4 내지 약 30 범위일 수 있고, z는 약 0 내지 약 10일 수 있다. 추가적으로 그리고 대안적으로, 전구체는, 두 개 이상의 탄소 원자 및 하나 이상의 수소 원자를 갖는 사슬 또는 환형 분자를 포함할 수 있으며, 분자는 화학식 CxHyNz로 표시된다. 특정 예시로서, 전구체는 하나 이상의 이중 결합 및/또는 하나 이상의 방향족 탄화수소 구조일 수 있거나 이를 포함할 수 있다.
일부 구현예에서, 제2 탄소 함유 재료(146)는 제1 탄소 함유 재료(140)에 비해 더 낮은 유동성 및/또는 더 높은 에칭 선택도를 가질 수 있다. 에칭 선택도는 다른 재료에 대한 하나의 재료의 에칭 속도의 비를 말한다. 일부 구현예에서, 하나 이상의(예, 복수의) 추가 층(152) 내의 재료에 대한 제2 탄소 함유 재료(146)의 에칭 선택도(도 1(f)의 설명 참조, 이하)는 비교적 높고, 예를 들어 2.0보다 크다. 탄소 함유 재료(146)의 초기 유동성은 표 1에 정의된 바와 같이 '양호함'보다 작을 수 있다.
일부 구현예에서, 제2 탄소 함유 재료(146)를 증착한 후, 단계(S108)는 또한 플라즈마 처리 단계를 포함할 수 있다. 일부 구현예에서, 처리 단계는 강한 플라즈마 처리를 포함할 수 있다. 연속적인 라디오 주파수(RF)가 단계(S108) 동안 사용될 수 있다. 강력한 플라즈마 처리는, 가스를 플라즈마에 노출시킴으로써 아르곤, 헬륨, 질소 및/또는 수소 중 하나 이상과 같은 불활성 가스로부터 형성된 활성화 종과 제2 탄소 함유 재료(146)를 접촉시키는 단계를 포함할 수 있다. 일부 예시적인 구현예에서, 단계(S108) 동안 강한 플라즈마 처리는 약 50 W 내지 약 300 W의 (예를 들어, 연속적인) RF 전력을 갖는 플라즈마에 대한 제2 탄소 함유 재료(146)의 노출을 포함할 수 있다. 단계(S108) 동안 사용된 RF 주파수는 약 2.0 MHz 내지 약 27.12 MHz일 수 있다. 일부 구현예에서, 단계(S108)의 처리 단계 동안 제2 탄소 함유 재료(146)의 플라즈마에 대한 노출은 약 5 내지 30초, 예를 들어 약 5.0 내지 약 30.0초의 범위일 수 있다. (초들은 "초"로 본원에서 약칭될 수 있음) 단계(S108) 동안, 반응 챔버 내의 온도는 100°C 미만일 수 있다.
도 1(e)에 도시된 바와 같이, 제2 탄소 함유 재료(146)의 부분 제거의 단계(S110) 후에, 표면(150)을 갖는 제2 탄소 함유 재료의 나머지 부분(148)은 오목부(138) 내에 남아 있고, 제1 상부 층(132)은 제1 상부 표면(176)을 갖는다. 플라즈마 에칭은, 단계(S110) 중에 제2 탄소 함유 재료의 부분 제거 동안 사용될 수 있다. 단계(S110) 동안에, 에천트가 반응 챔버로 흐를 수 있다. 단계(S110) 중에 사용된 예시적인 에천트는, 산소(O2)와 같은 산소 함유 가스, 또는 수소(H2)와 같은 수소 함유 가스를 포함할 수 있다. 이러한 일부 경우에, 단계(S110) 동안 사용된 가스는 불활성 가스, 예컨대 헬륨, 아르곤, 또는 기타에 약 5.0% 내지 약 50.0%의 산소 함유 가스 및/또는 수소 함유 가스를 포함할 수 있다. 단계(S110)에서, 가스(예, 산소 함유 가스, 수소 함유 가스, 및/또는 임의의 불활성 가스)의 유량은 약 1.0 slm 내지 약 4.0 slm의 범위일 수 있다.
활성 종은, 단계(S110)에서 직접식 및/또는 원격식 플라즈마를 사용하여 가스(예, 산소 함유 가스 또는 수소 함유 가스 및/또는 임의의 불활성 가스)로부터 형성될 수 있다. 단계(S110) 동안에, 전극에 인가된 전력은 약 100 W 내지 약 800 W 범위일 수 있다. 단계(S110)에 사용된 전력의 주파수는 약 2.0 MHz 내지 약 27.12 MHz 범위일 수 있다.
일부 구현예에서, S110 이후 오목부(138) 내에 남아 있는 제2 탄소 함유 재료(148)의 잔여 부분의 표면(150)은, 하나 이상의(예, 복수의) 제1 층(126)의 제1 상부 층(132)의 제1 상부 표면(176)과 동일 평면 또는 실질적으로 동일 평면에 있다. 일부 구현예에서, 단계(S110) 이후 오목부(138) 내의 제2 탄소 함유 재료(148)의 나머지 부분은, 제1 탄소 함유 재료(144)의 나머지 부분 및 제2 탄소 층(148)의 나머지 부분이 이후 공정 단계, 예를 들어 예시상 단계(S112 내지 S118) 동안에 오목부(138) 및 공극(142) 내에 재료의 증착을 차단하도록 한다.
본원에서 사용되는 바와 같이, "동일 평면"은, 단면 TEM 또는 SEM과 같은 종래의 측정 방법의 한계 내에서, 하나의 피처(예, 제2 탄소 층)의 표면이 다른 하나의 피처(예, 구조물)의 표면과 동일 평면에 있음을 의미한다. 본원에서 사용되는 바와 같이, "실질적으로 동일한 평면"은 제1 피처의 표면이 제2 (기준) 피처의 표면보다 5% 이상 높거나 낮지 않음을 의미하고, 여기서 두 개의 표면 사이의 높이 차이가 분자이고, 두 개의 피처 중 더 얇은 피처의 두께가 분모이다. 일부 구현예에서, 단계(S110)에서 형성된 제2 탄소 함유 재료(148)의 나머지 부분의 표면(150)은, 제1 상부 층(132)의 제1 상부 표면(176)과 "실질적으로 동일 평면"에 있고, 이는 제1 상부 표면(176)보다 최대 5%, 4%, 3%, 2%, 1%, 0.5%, 0.25%, 0.1%, 0.05%, 또는 0.01% 높거나 낮다(여기서, 제1 상부 층(132)이 제2 탄소 함유 재료(148)의 나머지 부분보다 더 얇은 경우에, 제1 상부 층(132)은 이의 폭이 분모인 기준 층임).
도 1(f)에 도시된 바와 같이, 하나 이상의(예, 복수의) 제1 층(126)의 제1 상부 층(132) 및 제2 탄소 함유 재료(148)의 나머지 부분의 표면(150) 상에 추가 층(들)(152)을 증착하는 단계(S112) 이후에, 하나 이상(예, 복수의)의 추가 층(152)이 형성됨으로써, 제2 중간 구조(182)를 형성한다. 단계(S112)에서 형성된 하나 이상의 추가 층(152)은 표면(156)을 갖는 상부 층(154)을 갖는다. 도 1(f)에 도시된 바와 같이, 하나 이상의 추가 층(들)(152)의 증착 단계(S112) 이후에, 제1 탄소 함유 재료(144)의 나머지 부분과 제2 탄소 함유 재료(148)의 나머지 부분은 오목부(138) 내에 유지되고, 공극(142)은 제1 탄소 함유 재료(144)의 나머지 부분 아래에 남아 있음으로써, 오목부(138)가 추가 층 증착 단계(S112)에서 증착된 재료로 충진되는 것을 방지한다. 하나 이상의 추가 층(152)은, CVD, PECVD 또는 PEALD와 같은 임의의 적합한 공정에 의해 형성될 수 있다.
도 1(g)에 도시된 바와 같이, 마스킹 층 증착(S114)의 단계 후에, 마스킹 층(158)은 상부 층(154)의 표면(156) 상에 형성된다. 적합한 마스킹층 재료는 SiC, 금속 산화물(TiO, ZrO, AlO 등), 또는 유기 층을 포함할 수 있고, CVD, PECVD, 또는 PEALD와 같은 방법에 의해 증착될 수 있다.
도 1(h)에 도시된 바와 같이, 부분적인 마스킹 층 제거를 포함하는 패터닝의 단계(S116) 후에, 하나 이상의(예, 복수의) 추가 층(152)의 상부 층(154)의 표면(156)의 노출된 부분(160)이 있다. 패터닝은 리소그래피와 같은 적합한 방법에 의해 수행될 수 있다.
도 1(i)에 도시된 바와 같이, 에칭 단계(S118) 후에, 개구(162)는 하나 이상의(예, 복수의) 추가 층(152)에 형성된다. 개구(162)는 개구 측벽(164)을 갖는다. 단계(S118)는 단계(S102)와 연결하여 전술한 동일 또는 유사 기술을 포함할 수 있다.
도 1(j)에 도시된 바와 같이, 마스킹 층과 탄소 층 제거 단계(S120) 후에, 피처(166)가 형성된다. 단계(S120)에서 형성된 피처(166)는 피처 측벽(170)을 갖는다. 피처(166)는 오목부(138) 및 개구(162) 둘 모두를 포함한다. 따라서, 단계(S120)에서 탄소 층의 제거와 조합하여, 제2 에칭 단계(S118)는 하나 이상의 제1 층(126) 및 하나 이상의 추가 층(152)에 단일의 고 종횡비 피처(166)를 형성함으로써, 고 종횡비를 갖는 피처(166)를 갖는 구조물(192)을 형성한다. 마스킹 층은 습식 공정(HF 또는 H2O2-NH4OH-기반 화학물질의 사용) 또는 건식 공정(CF-기반 화학물질 또는 Cl-기반 화학물질에 의한 플라즈마 에칭)과 같은 적합한 방법에 의해 제거될 수 있다. 제2 탄소 함유 층(148)의 나머지 부분 및 제1 탄소 함유 재료(144)의 나머지 부분은, 전술한 기술을 사용하여, 예를 들어 단계(S106 및/또는 S110)에 관해 설명된 바와 같은 탄소 층 플라즈마 에칭을 사용하여, 제거될 수 있다.
제2 탄소 함유 재료(148)의 나머지 부분과 제1 탄소 함유 재료(144)의 나머지 부분(예를 들어, 제1 탄소 함유 재료(144)의 나머지 부분 아래에 공극(142)을 가짐)의 조합은 공정 단계, 예를 들어, 단계(S112, S114, S116 및 S118) 동안 재료의 증착을 효과적으로 차단한다. 제2 탄소 함유 재료(148)의 나머지 부분과 제1 탄소 함유 재료(144)의 나머지 부분(예를 들어, 제1 탄소 함유 재료(144)의 나머지 부분 아래에 공극(142)을 가짐)의 조합은, 비교 탄소 함유 재료, 예컨대 도 7에 도시된 탄소 함유 재료(714)보다 더욱 쉽고/쉽거나 더욱 빨리 제거될 수 있다. 따라서, 방법(100) 및 본 발명의 범주 내의 관련 방법은 비교 방법(500)보다 높은 처리량을 달성할 수 있다. 이론에 얽매이지 않는다면, 이러한 더 높은 처리량이 달성될 수 있는 이유는, 상기 제1 탄소 함유 재료(144)의 나머지 부분(예를 들어, 높은 유동성 및 낮은 에칭 선택도를 가짐) 및 나머지 제2 탄소 함유 재료(148)의 부분(예를 들어, 제1 탄소 함유 재료에 비해 더 낮은 유동성 및/또는 더 높은 에칭 선택도를 가짐)은, 비교 탄소 함유 재료(이는 단지 하나의 탄소 함유 재료를 포함하고/포함하거나 공극 형성을 용이하게 하는 제1 탄소 함유 재료(144)의 나머지 부분 아래에 공극(142)을 갖지 않음)보다 더 쉽게 및/또는 더 신속하게 증착 및/또는 제거된다. 따라서, 이론에 다시 얽매이지 않는다면, 도 1에 도시된 방법(100)과 같은 본 발명의 방법은, 두 개의 탄소 함유 재료를 사용하고 선택적으로 공극을 포함하는 것이 단일 탄소 함유 재료를 사용하는 비교 방법보다 높은 처리량을 달성할 수 있다고 여겨진다.
이제 도 2 내지 도 4를 보면, 구조물(222)은 피처(266)를 내부에 형성하였다. 도 2에 도시된 바와 같이, 피처(266)는, 개구 측벽(264) 및 오목부 측벽(234)을 포함한 피처 측벽(270)을 갖는다. 개구 측벽(264) 및 오목부 측벽(234)은, 하나 이상의 제1 층(226)의 제1 상부 층(232)과 하나 이상의 제2 추가 층(252) 사이의 접합부(202)에서 만난다. 하나 이상의 제1 층(226)의 제1 상부 층(232)은 두께(w)를 갖는다.
도 2에 도시된 바와 같이, 일부 구현예에서, 오목부 측벽(234) 및 개구 측벽(264)은 수직 정렬 상태에 있거나 실질적으로 수직 정렬 상태에 있어서, 오목부 측벽(234)과 개구 측벽(264) 사이에 이음매가 없거나 실질적으로 이음매가 없는 접합부(202)가 있도록 한다.
도 3에 도시된 바와 같이, 구조(322)에서, 피처 측벽(270)은, 개방 측벽(264) 및 오목부 측벽(234)의 약간의 오정렬로 인해 초래되는, 립(372)을 포함할 수 있다. 이러한 경우에, 개구 측벽(264)과 오목부 측벽(234) 사이에서 립(372)을 따라 수평 거리인, 립 분산 v1이 있을 수 있다.
도 4에 도시된 바와 같이, 구조물(422)에서, 피처 측벽(270)은, 개구 측벽(264) 및 오목부 측벽(234)의 약간의 오정렬에 의해 야기되는, 오버행(474)을 포함할 수 있다. 이러한 경우에, 개구 측벽(264)과 오목부 측벽(234) 사이에서 오버행을 따라 수평 거리인, 오버행 분산 v11이 있을 수 있다.
비록 도 3은 립(272)을 나타내고 도 4는 오버행(474)을 나타내지만, 구조물(322, 422)은, 약간의 오정렬의 경우에 립(272) 및 오버행(474) 둘 모두를 가질 수 있고, 따라서 립 분산 v1 및 오버행 분산 v11 둘 모두를 가질 수 있음을 이해할 것이다.
본원에서 사용되는 바와 같이, "수직 정렬"은 피처 측벽(270)에서 종래의 방법(예를 들어, CVD 또는 ALD)에 의해 측정 가능한 립 또는 오버행이 없음을 의미하고, "실질적으로 수직인 정렬"은, 종래의 방법에 의해 측정 가능한 경우에, 립 분산 v1 및/또는 오버행 분산 v11이 하나 이상의 제1 층(226)의 제1 상부 층(232) 두께(w)의 약 3% 미만임을 의미한다. 일부 구현예에서, 립 분산 v1 및/또는 오버행 분산 v11은, 통상적인 방법에 의해 측정 가능한 경우에, 제1 상부 층(232)의 두께(w)의 약 3% 미만일 수 있다.
본원에서 사용되는 바와 같이, "이음매 없음"은 피처 측벽에 (PECVD 또는 PEALD와 같은) 규칙적인 방법에 의해 측정 가능한 립 또는 오버행이 없음을 의미한다. 본원에서 사용되는 바와 같이, "실질적으로 이음매 없음"은, 종래의 방법에 의해 측정 가능한 경우에, 립 분산 v1 및/또는 오버행 분산 v11이 하나 이상의 제1 층(226)의 제1 상부 층(232)의 두께(w)의 약 5% 미만임을 의미한다. 일부 구현예에서, 립 분산 v1 및/또는 오버행 분산 v11은, 제1 상부 층(232)의 두께(w)의 약 3% 미만일 수 있다.
도 1(j)에 나타낸 구조물(192)과 도 2 내지 도 4에 도시된 구조물(222, 322, 422)은, 도1에 나타낸 방법을 반복하는 단계를 포함한 후속 공정에서 기판으로서 기능할 수 있다.
도 1에 도시된 방법(100)은, 도 6에 도시된 바와 같이 반응기 시스템(600)에 수행될 수 있고, 이는 본원에 설명된 하나 이상의 단계 또는 하위 단계를 수행하고/수행하거나 본원에 설명된 하나 이상의 구조물 또는 이의 부분을 형성하기 위해 사용될 수 있다.
도 6은 본 개시의 예시적인 추가 구현예에 따른 반응기 시스템(600)을 나타낸다. 반응기 시스템(600)은, 본원에 설명된 하나 이상의 단계 또는 하위 단계를 수행하고/수행하거나 본원에 설명된 하나 이상의 구조물 또는 이의 부분을 형성하기 위해 사용될 수 있다.
반응기 시스템(600)은, 반응 챔버(3)의 내부(11)(반응 구역)에서 서로 평행하게, 그리고 서로 마주하는 한 쌍의 전기 전도성 평판 전극(4, 2)을 포함한다. 예를 들어, 전원(25)에서 하나의 전극(예, 전극(4))으로 HRF 전력(예, 2.0 MHz 또는 27.12 MHz)을 인가하고 다른 전극(예, 전극(2))을 전기적으로 접지함으로써, 플라즈마는 반응 챔버(3) 내에서 여기될 수 있다. 온도 조절기가 하부 스테이지(2)(하부 전극)에 제공되고, 그 위에 배치된 기판(1)의 온도는 원하는 온도로 유지될 수 있다. 전극(4)은, 샤워 플레이트 같은 가스 분배 장치로서 기능할 수 있다. 반응물 가스, 희석 가스(존재하는 경우), 전구체 가스 및/또는 에천트는 각각 가스 라인(20), 가스 라인(21), 및 가스 라인(22), 및 가스 분배 장치(4)를 통해 반응 챔버(3) 내에 도입될 수 있다. 세 개의 가스 라인으로 나타냈지만, 반응기 시스템(600)은 임의 적절한 개수의 가스 라인을 포함할 수 있다.
반응 챔버(3)에 배기 라인(7)을 갖는 원형 덕트(13)가 제공되고, 이를 통해 반응 챔버(3)의 내부(11)에 있는 가스가 배기될 수 있다. 추가적으로, 반응 챔버(3) 아래에 배치된 이송 챔버(5)는, 이송 챔버(5)의 내부(이송 구역)(16)를 통해 반응 챔버(3)의 내부(11)로 밀봉 가스를 유입하기 위한 밀봉 가스 라인(24)을 구비하며, 반응 구역과 이송 구역을 분리하기 위한 분리 판(14)이 제공된다(웨이퍼가 이송 챔버(5)로 또는 이송 챔버로부터 이송되는 게이트 밸브는 본 도면에서 생략됨). 이송 챔버에는 배기 라인(6)이 또한 구비된다. 일부 구현예에서, 증착, 및 에칭 및/또는 표면 처리 단계는 동일한 반응 챔버에서 수행되어, 두 개 이상의(예, 모든) 단계는, 기판을 공기 또는 다른 산소 함유 대기에 노출시키지 않고 수행될 수 있다.
일부 구현예에서, 캐리어 가스의 반응 챔버(3)로의 흐름은, 캐리어 가스 라인이 전구체 리저버(용기)를 갖는 우회 라인을 구비하고 메인 라인과 우회 라인이 스위칭되는, 유동-통과 시스템(FPS)을 이용하여 달성될 수 있고, 캐리어 가스만을 반응 챔버에 공급하고자 할 때에는 우회 라인이 닫히고, 반면 캐리어 가스와 전구체 가스 모두를 반응 챔버에 공급하고자 할 때에는 메인 라인이 닫히게 되어, 캐리어 가스는 우회 라인을 통해 흐르고 전구체 가스와 함께 용기로부터 흘러 나온다. 이 방식으로, 캐리어 가스는 반응 챔버 내로 흐를 수 있고, 메인 라인과 우회 라인 사이를 스위칭함으로써, 실질적으로 반응 챔버의 압력 요동 없이, 전구체 가스를 펄스로 운반할 수 있다.
장치는, 본원에 설명된 하나 이상의 방법 단계를 수행하도록 달리 구성되거나 프로그래밍된 하나 이상의 제어기(들)(26)를 포함할 수 있음을 당업자는 이해할 것이다. 제어기(들)는, 당업자가 이해하는 바와 같이, 다양한 전력원, 가열 시스템, 펌프, 로보틱스, 및 반응기의 가스 유량 제어기 또는 밸브들과 통신한다.
일부 구현예에서, 듀얼 챔버 반응기(서로 근접하게 배치된 웨이퍼를 처리하기 위한 2개의 섹션 또는 컴파트먼트)가 사용될 수 있고, 반응물 가스 및 귀가스는 공유된 라인을 통해 공급될 수 있는 반면, 전구체 가스는 공유되지 않는 라인을 통해 공급된다.
위에 설명된 본 개시의 예시적 구현예는 본 발명의 범주를 제한하지 않는데, 그 이유는 이들 구현예는 본 발명의 구현예의 예시일 뿐이기 때문이다. 임의의 균등한 구현예는 본 발명의 범주 내에 있도록 의도된다. 확실하게, 본원에 나타내고 설명된 것 외에도, 설명된 요소의 대안적인 유용한 조합과 같은 본 발명의 다양한 변경은 설명으로부터 당업자에게 분명할 수 있다. 이러한 변경 및 구현예도 첨부된 청구범위의 범주 내에 있는 것으로 의도된다.

Claims (25)

  1. 고 종횡비 피처를 포함한 구조물을 제조하는 방법으로서, 상기 방법은,
    a. 표면을 포함한 하나 이상의 층 및 상기 하나 이상의 층 내에 형성된 오목부를 갖는 기판을 반응 챔버 내에 제공하는 단계;
    b. 상기 표면 상에 제1 탄소 층을 형성함으로써, 상기 오목부를 적어도 부분적으로 충진하는 단계;
    c. 상기 제1 탄소 층을 부분적으로 제거하는 단계;
    d. 상기 제1 탄소 층의 나머지 부분 위에 놓이는 제2 탄소 층을 형성하는 단계;
    e. 상기 제2 탄소 층을 부분적으로 제거하는 단계(상기 제2 탄소 층의 나머지 부분은 상기 오목부의 개구와 실질적으로 동일 평면임);
    f. 상기 제2 탄소 층의 나머지 부분 위에 놓이는 적어도 하나의 추가 층을 증착하는 단계; 및
    g. 상기 적어도 하나의 추가 층을 에칭하여 상기 제2 탄소 층의 나머지 부분에 개구를 형성하는 단계를 포함하는, 방법.
  2. 제1항에 있어서, 상기 오목부를 적어도 부분적으로 충진하는 단계는, 상기 오목부 내에 그리고 상기 제1 탄소 층 아래에 공극을 형성하는 단계를 포함하는, 방법.
  3. 제1항 또는 제2항에 있어서, 상기 제1 탄소 층의 초기 유동성은 상기 제2 탄소 층의 초기 유동성보다 큰, 방법.
  4. 제2항 또는 제3항에 있어서, 상기 제1 탄소 층을 부분적으로 제거하는 단계 이후에, 상기 제1 탄소 층의 나머지 부분은 상기 오목부 내에 공극을 여전히 형성하는, 방법.
  5. 제1항 내지 제4항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 부분적으로 제거하는 단계는, 상기 오목부로부터 상기 제1 탄소 층의 적어도 일부를 제거하는 단계를 포함하는, 방법.
  6. 제1항 내지 제5항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 형성하는 단계 및/또는 상기 제2 탄소 층을 형성하는 단계는 플라즈마 강화 화학 기상 증착(PECVD)을 포함하는, 방법.
  7. 제1항 내지 제6항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 형성하는 단계 및/또는 상기 제2 탄소 층을 형성하는 단계는, 화학식 CxHyNz(x는 2 이상인 자연수이고, y는 자연수이고, z는 0이거나 자연수임)로 표시된 전구체를 제공하는 단계를 포함하는, 방법.
  8. 제1항 내지 제7항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 형성하는 단계 및/또는 상기 제2 탄소 층을 형성하는 단계는 플라즈마 처리 단계를 포함하는, 방법.
  9. 제1항 내지 제8항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 형성하는 단계 및/또는 상기 제2 탄소 층을 형성하는 단계는, 라디오 주파수(RF) 전력, 플라즈마에 대한 노출 시간, 및 서셉터 스테이지/서셉터 상의 바이어스 RF 전력을 제어함으로써, 상기 제1 탄소 층의 막 밀도 및/또는 상기 제2 탄소 층의 막 밀도를 조작하는 단계를 포함하는, 방법.
  10. 제1항 내지 제9항 중 어느 한 항에 있어서, RF 주파수는 약 2.0 MHz 내지 약 27.12 MHz의 범위이고, 서셉터 스테이지/서셉터 상의 RF 바이어스 주파수는 약 400 kHz 내지 약 800 kHz의 범위인, 방법.
  11. 제1항 내지 제10항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 형성하는 단계 및/또는 상기 제2 탄소 층을 형성하는 단계 중 하나 이상 동안에, 상기 반응 공간 내의 온도는 100°C 미만인, 방법.
  12. 제1항 내지 제11항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 형성하는 단계는, 약 50 W 내지 약 300 W의 라디오 주파수(RF) 전력을 갖는 플라즈마에 적어도 하나의 전구체를 노출시키는 단계를 포함하는, 방법.
  13. 제1항 내지 제12항 중 어느 한 항에 있어서, 상기 제2 탄소 층을 형성하는 단계는, 약 50 W 내지 약 300 W의 라디오 주파수(RF) 전력을 갖는 플라즈마에 적어도 하나의 전구체를 노출시키는 단계를 포함하는, 방법.
  14. 제1항 내지 제13항 중 어느 한 항에 있어서, 상기 적어도 하나의 추가 층은 하나 이상의 실리콘 산화물 층(들) 및/또는 하나 이상의 실리콘 질화물 층(들)을 포함하는, 방법.
  15. 제1항 내지 제14항 중 어느 한 항에 있어서, 상기 제1 탄소 층은 플라즈마 처리를 사용하지 않거나 약한 플라즈마 처리를 사용해서 형성되어, 상기 탄소 층이 높은 유동성 및 낮은 에칭 선택도를 갖도록 하는, 방법.
  16. 제15항에 있어서, 상기 약한 플라즈마 처리는, 약 50 W 내지 약 300 W의 라디오 주파수(RF) 전력을 이용한 플라즈마 처리를 포함하는, 방법.
  17. 제15항에 있어서, 상기 약한 플라즈마 처리는 약 1.0초 내지 약 10.0초의 플라즈마에 대한 노출 시간을 포함하는, 방법.
  18. 제1항 내지 제15항 중 어느 한 항에 있어서, 상기 제2 탄소 층은 강한 플라즈마 처리를 이용한 플라즈마 처리를 사용하여 형성되는, 방법.
  19. 제18항에 있어서, 상기 강한 플라즈마 처리는, 약 100 W 내지 약 800 W의 라디오 주파수(RF) 전력을 이용한 플라즈마 처리를 포함하는, 방법.
  20. 제18항에 있어서, 상기 강한 플라즈마 처리는 약 5.0초 내지 약 30.0초의 플라즈마에 대한 노출 시간을 포함하는, 방법.
  21. 제1항 내지 제20항 중 어느 한 항에 있어서, 상기 제2 탄소 층을 부분적으로 제거하는 단계 이후에, 상기 제2 탄소 층의 적어도 일부분은 상기 오목부 내에 남아있는, 방법.
  22. 제21항에 있어서, 상기 오목부 내에 남아 있는 상기 제2 탄소 층의 일부분은, 오목부 개구를 정의한 표면과 실질적으로 동일 평면인 상부를 갖는, 방법.
  23. 제1항 내지 제22항 중 어느 한 항에 있어서, 상기 제1 탄소 층을 부분적으로 제거하는 단계 및/또는 상기 제2 탄소 층을 부분적으로 제거하는 단계는, 산소(O2) 또는 N2O와 같은 하나 이상의 산소 함유 가스, 또는 수소(H2) 또는 NH3와 같은 수소 함유 가스 중 하나 이상을 이용해 플라즈마 에칭하는 단계를 포함하는, 방법.
  24. 제1항 내지 제23항 중 어느 한 항의 방법에 의해 제조된 고 종횡비 피처를 포함하는 구조물.
  25. 제1항 내지 제24항 중 어느 한 항의 방법을 수행하고/수행하거나 제24항의 구조물을 형성하는 시스템.
KR1020210000341A 2020-01-16 2021-01-04 고 종횡비 피처를 형성하는 방법 KR20210093163A (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202062962035P 2020-01-16 2020-01-16
US62/962,035 2020-01-16

Publications (1)

Publication Number Publication Date
KR20210093163A true KR20210093163A (ko) 2021-07-27

Family

ID=76810068

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020210000341A KR20210093163A (ko) 2020-01-16 2021-01-04 고 종횡비 피처를 형성하는 방법

Country Status (4)

Country Link
US (1) US20210225642A1 (ko)
JP (1) JP2021114602A (ko)
KR (1) KR20210093163A (ko)
CN (1) CN113140503A (ko)

Families Citing this family (159)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6544855B1 (en) * 2001-10-19 2003-04-08 Infineon Technologies Ag Process flow for sacrificial collar with polysilicon void
US10985009B2 (en) * 2018-04-27 2021-04-20 Applied Materials, Inc. Methods to deposit flowable (gap-fill) carbon containing films using various plasma sources
WO2020112487A1 (en) * 2018-11-30 2020-06-04 Lam Research Corporation Method and apparatus for atomic layer deposition or chemical vapor deposition

Also Published As

Publication number Publication date
JP2021114602A (ja) 2021-08-05
US20210225642A1 (en) 2021-07-22
CN113140503A (zh) 2021-07-20

Similar Documents

Publication Publication Date Title
KR20210093163A (ko) 고 종횡비 피처를 형성하는 방법
US20210020432A1 (en) Method of forming topology-controlled amorphous carbon polymer film
US11282698B2 (en) Method of forming topology-controlled amorphous carbon polymer film
TWI817004B (zh) 用於重組非晶碳聚合物膜之方法
US11626316B2 (en) Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure
US10319603B2 (en) Selective SiN lateral recess
JP2023027173A (ja) エアギャップの形成方法
US20160218018A1 (en) Vertical gate separation
US11049695B2 (en) Metal contact landing structure
TWI775839B (zh) 具有選擇性阻隔層的結構
US20140287593A1 (en) High throughput multi-layer stack deposition
WO2018195417A1 (en) Self-aligned contact and gate process flow
US11705333B2 (en) Structures including multiple carbon layers and methods of forming and using same
WO2018195428A1 (en) Selective formation of silicon-containing spacer
KR20220046476A (ko) 특징부의 측벽 상에 실리콘 질화물을 형성하기 위한 방법 및 시스템
KR20220143579A (ko) 유동성 탄소 층으로 갭을 충진하는 방법
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统

Legal Events

Date Code Title Description
A201 Request for examination