CN115198246A - 用于形成包括氮化硅的图案化结构的方法和系统 - Google Patents

用于形成包括氮化硅的图案化结构的方法和系统 Download PDF

Info

Publication number
CN115198246A
CN115198246A CN202210354424.2A CN202210354424A CN115198246A CN 115198246 A CN115198246 A CN 115198246A CN 202210354424 A CN202210354424 A CN 202210354424A CN 115198246 A CN115198246 A CN 115198246A
Authority
CN
China
Prior art keywords
reaction chamber
during
plasma
reactant
providing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202210354424.2A
Other languages
English (en)
Inventor
芝英一郎
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN115198246A publication Critical patent/CN115198246A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45538Plasma being used continuously during the ALD cycle
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials

Abstract

公开了形成适用于多重图案化过程的图案化结构的方法。示例性方法包括通过在硅前体脉冲周期内向反应室提供硅前体,向反应室提供氮反应物,向反应室提供氢反应物,以及在等离子体脉冲周期内提供等离子体功率以在反应室内形成等离子体,从而形成覆盖衬底的氮化硅层。可以通过控制提供给反应室的氢气量和/或使用其他过程参数来控制衬底上牺牲特征的蚀刻轮廓。

Description

用于形成包括氮化硅的图案化结构的方法和系统
技术领域
本公开总体涉及用于形成适于形成电子器件的结构的方法和系统。更具体地,本公开的示例涉及用于形成包含氮化硅的层的方法和系统。
背景技术
在电子器件的制造过程中,通过图案化衬底表面并使用例如湿法蚀刻和/或干法蚀刻过程从衬底表面去除材料,可以在衬底表面上形成特征的精细图案。光致抗蚀剂经常用作衬底表面的这种图案化的模板。
可以通过以下步骤形成光致抗蚀剂图案:在衬底表面上涂覆光致抗蚀剂层,掩蔽光致抗蚀剂的表面,将光致抗蚀剂的未掩蔽部分暴露于辐射,比如紫外光或电子束,并去除光致抗蚀剂的一部分(例如未掩蔽或掩蔽部分),同时在衬底表面上留下光致抗蚀剂的一部分(例如未掩蔽或掩蔽部分中的另一个)。一旦光致抗蚀剂被图案化,图案化的光致抗蚀剂可以用作模板,用于蚀刻其中光致抗蚀剂被去除的区域中的衬底表面上的材料,以在光致抗蚀剂下面的层中形成转移的图案。蚀刻后,剩余的光致抗蚀剂可被去除。
随着器件尺寸的减小,传统的光致抗蚀剂技术可能不适于形成所需尺寸的图案。在这种情况下,可以使用多重图案化技术来图案化和蚀刻可能比光刻过程的曝光分辨率更小的特征。多重图案化过程可以包括在图案化特征(例如图案化光致抗蚀剂)周围形成间隔物,去除图案化特征以形成图案化结构,以及在后续蚀刻期间使用图案化结构作为掩模。
尽管这种技术在一些应用中可能工作得相对较好,但去除图案化特征的蚀刻过程可能是各向异性的,这可能导致图案化结构倾斜。图案化结构的倾斜会在后续蚀刻步骤和所得图案转移中引起不希望的变化。随着图案化结构尺寸的减小,这种现象通常变得越来越成问题。
因此,需要在衬底表面上形成图案化结构的改进方法。此外,还需要包括图案化结构的器件结构。并且,用于执行该方法的系统也是期望的。
本部分中阐述的问题和解决方案的任何讨论已被包括在本公开中,仅仅是为了提供本公开的背景,并且不应被认为是承认任何或所有的讨论在本发明做出时是已知的。
发明内容
本公开的各种实施例涉及在衬底表面上形成图案化结构的方法以及用于形成图案化结构的系统。图案化结构可用于形成器件,比如半导体器件和其他电子器件。
虽然下面更详细地讨论了本公开的各种实施例解决现有方法和系统的缺点的方式,但总体上,本公开的各种实施例提供了使用氮化硅层形成图案化结构的改进方法。如下文更详细阐述,本公开的示例包括使用各向同性化学蚀刻来促进下层结构的相对均匀去除。下层结构的相对均匀去除减轻了不规则的图案转移,比如可能由可由使用其他技术引起的倾斜图案结构引起的不规则的图案转移。
根据本公开的示例,公开了一种在衬底表面上形成图案化结构的方法。该方法可以用于例如多重图案化(例如间隔物限定的双重图案化)技术。该方法包括在反应室内提供包括形成在其上的牺牲特征的衬底,并在牺牲特征上沉积包括氮化硅的层,其中,在沉积步骤期间,去除牺牲特征的一部分。沉积包含氮化硅的层的步骤可以包括循环过程,该循环过程包括向反应室提供氮反应物(例如在氮反应物脉冲周期内,其可以连续通过一个或多个沉积循环),向反应室提供氢反应物(例如在氢反应物脉冲周期内,其可以连续通过一个或多个沉积循环),以及在等离子体脉冲周期内提供等离子体功率,以在反应室内形成等离子体。在使用化学反应物(比如氢反应物)沉积包含氮化硅的层的步骤期间,可各向同性地去除牺牲特征的至少一部分或一段。根据本公开的进一步示例,该方法可以进一步包括使用反应性离子蚀刻来去除包含氮化硅的层的一部分的步骤。另外或可替代地,该方法可以包括去除牺牲特征的剩余部分从而形成图案化结构的步骤。另外或可替代地,该方法可以包括使用图案化结构蚀刻衬底的一部分的步骤。根据进一步示例,该方法包括通过操纵氢反应物的流量、反应室内的压力、等离子体功率、衬底温度和等离子体功率脉冲时间中的一个或多个来控制循环等离子体过程期间牺牲特征的蚀刻轮廓的步骤。并且,根据又一示例,该方法包括通过操纵氢反应物的流量比、反应室内的压力、等离子体功率、衬底温度和等离子体功率脉冲时间中的一个或多个来控制循环等离子体过程期间牺牲特征的蚀刻轮廓的步骤。
根据本公开的进一步实施例,提供了一种器件结构。可以根据这里阐述的方法形成器件结构。该器件结构可以包括衬底和在其上或其中形成的一个或多个图案化结构。
根据本公开的另外示例,提供了一种系统,该系统配置为执行这里描述的方法和/或形成器件结构。
通过参考附图对某些实施例的以下详细描述,这些和其他实施例对于本领域技术人员来说将变得显而易见;本发明不限于所公开的任何特定实施例。
附图说明
当结合以下说明性附图考虑时,通过参考详细描述和权利要求,可以获得对本公开的示例性实施例的更完整的理解。
图1示出了器件结构的形成,这可能导致在衬底表面上图案化牺牲特征的去除的不期望变化。
图2示出了根据本公开至少一个实施例的方法。
图3-8示出了根据本公开的示例性实施例的器件结构。
图9示出了根据本公开示例的时序。
图10示出了膜厚度测量的位置。
图11示出了根据本公开示例的在氮化硅层沉积之后样品的牺牲特征测量。
图12示出了根据本公开示例的在氮化硅层沉积之后样品的图案化牺牲特征测量的变化。
图13示出了根据本公开的至少一个实施例的系统。
应当理解,附图中的元件是为了简单和清楚而示出的,并不一定是按比例绘制的。例如,图中的一些元件的尺寸可能相对于其他元件被夸大,以有助于提高对本公开的所示实施例的理解。
具体实施方式
尽管下面公开了某些实施例和示例,但本领域技术人员将理解,本发明延伸到具体公开的实施例和/或本发明的用途及其明显的修改和等同物之外。因此,意图是所公开的本发明的范围不应被下面描述的具体公开的实施例所限制。
本公开总体涉及在衬底表面上形成图案化结构的方法、包括或使用图案化结构形成的器件结构以及用于执行该方法和/或形成器件结构的系统。如下文更详细描述,示例性方法可用于形成适于形成电子器件的器件结构。例如,示例性方法可用于在衬底表面上形成图案化结构。图案化结构可以用作蚀刻掩模或图案化特征,用于形成下一组图案化结构。如下面更详细阐述,示例性方法和系统可以允许更精确的图案转移、更可靠的器件制造、更小或更大间距蚀刻图案的形成以及更可靠的器件性能。
在本公开中,气体可以包括在常温常压下为气体的材料、蒸发的固体和/或蒸发的液体,并且可以由单一气体或气体混合物构成,这取决于上下文。除了处理气体之外的气体,例如不经过气体分配组件比如喷淋头、其他气体分配装置等而引入的气体,可以用于例如密封反应空间,并且可以包括密封气体,比如稀有气体或其他惰性气体。术语惰性气体指的是在可感知的程度上不参与化学反应的气体和/或当施加等离子体功率时能够激发前体的气体。术语前体和反应物可以互换使用。
如本文所用,术语“衬底”可以指可用于形成或可在其上形成器件、电路或膜的任何一种或多种底层材料。衬底可以包括块体材料,比如硅(例如单晶硅)、其他IV族材料,比如锗,或者化合物半导体材料,比如GaAs,并且可以包括覆盖或位于块体材料下面的一个或多个层。此外,衬底可以包括各种特征,比如形成在衬底层的至少一部分内或上的凹部、线条等。作为特定示例,衬底可以包括块体半导体材料和/或要被蚀刻的层以及在其上形成的图案化牺牲特征。
在一些实施例中,膜是指在垂直于厚度方向的方向上延伸的层,以覆盖整个目标或相关表面,或者仅仅是覆盖目标或相关表面的层。在一些实施例中,层是指在表面上形成的具有一定厚度的结构,或者膜或非膜结构的同义词。层可以是连续的或不连续的。膜或层可以由具有某些特性的离散的单个膜或层或者多个膜或层构成,并且相邻膜或层之间的边界可以是或可以不是清晰的,并且可以是或可以不是基于物理、化学和/或任何其他特性、形成过程或顺序和/或相邻膜或层的功能或目的而建立的。
在本公开中,连续可以指以下中的一个或多个:不破坏真空、不作为时间线中断、没有任何材料介入步骤、不改变处理条件、紧接其后、作为下一步骤或者在除了一些实施例中的两个结构之外的两个结构之间没有介入离散的物理或化学结构。例如,可以在一种方法的两个或更多个步骤和/或沉积循环中连续供应反应物。
术语“循环沉积过程”或“循环的沉积过程”可以指将前体(和/或反应物)顺序引入反应室以在衬底上沉积层,并且包括处理技术,比如原子层沉积(ALD)、循环化学气相沉积(循环CVD)以及包括ALD分量和循环CVD分量的混合循环沉积过程。
如本文所用,术语原子层沉积(ALD)可以指在处理室中进行沉积循环(优选是多个连续或持续的沉积循环)的气相沉积过程。通常,在每个循环期间,引入前体,并且前体可以化学吸附到沉积表面(例如衬底表面或先前沉积的下层表面,比如来自先前ALD循环的材料),形成不容易与附加前体反应(即自限制反应)的单层或亚单层。此后,反应物(例如另一种前体或反应气体)可随后被引入处理室,用于将化学吸附的前体转化为沉积表面上的期望材料。通常,该反应物能够与前体进一步反应。此外,吹扫步骤也可以在每个循环期间使用,以在化学吸附的前体转化之后从处理室去除过量前体和/或从处理室去除过量反应物和/或反应副产物。此外,如本文所用,术语原子层沉积也意味着包括由相关术语指定的过程,比如化学气相原子层沉积、原子层外延(ALE)、分子束外延(MBE)、气体源MBE或有机金属MBE,以及当用前体组合物、反应气体和吹扫气体(例如惰性载气)的交替脉冲执行时的化学束外延。PEALD是指在一个或多个ALD步骤或子步骤中施加等离子体的ALD过程。
如本文所用,术语“吹扫”可以指在相互反应的两个气体脉冲之间向反应室提供惰性或基本惰性气体的过程。例如,可以在前体脉冲和反应物脉冲之间提供吹扫,从而避免或至少最小化前体和反应物之间的气相相互作用。应当理解,吹扫可以在时间或空间或者这两者上进行。例如,在时间吹扫的情况下,可以使用吹扫步骤,例如以向反应室提供第一前体、向反应室提供吹扫气体和向反应室提供第二前体的时间顺序,其中其上沉积层的衬底不移动。例如,在空间吹扫的情况下,吹扫步骤可采取以下形式:将衬底从供应第一前体的第一位置通过吹扫气帘移动到供应第二前体的第二位置。
如本文所用,氮化硅是指包括硅和氮的材料。氮化硅可以由式Si3N4表示。在一些情况下,氮化硅可以不包括化学计量的氮化硅。在一些情况下,氮化硅可以包括其他元素,比如碳、氧、氮、氢等。
如本文所用,术语“重叠”可以指在时间上和反应室内的重合。例如,关于气体脉冲周期,比如前体脉冲周期和反应物脉冲周期,当来自相应脉冲周期的气体在反应室内或被提供给反应室一段时间时,两个或更多个气体脉冲周期可以重叠。
此外,在本公开中,变量的任何两个数字可以构成变量的可工作范围,并且指示的任何范围可以包括或不包括端点。此外,指示的变量的任何值(不管它们是否用“约”表示)可以指精确值或近似值,并且包括等同物,并且在一些实施例中可以指平均值、中值、代表性值、多数值等。此外,在本公开中,在一些实施例中,术语“包括”、“由...构成”和“具有”可以独立地指“通常或广义地包括”、“包含”、“基本由...构成”或“由...构成”。在本公开中,在一些实施例中,任何定义的含义不一定排除普通和习惯的含义。
现在转到附图,图1示出了形成覆盖衬底104的图案化结构102的比较方法的总体概况。形成图案化结构102的方法可以包括提供具有其上形成有图案化(牺牲)特征106的衬底104。可以在结构106上形成氮化硅层108。在氮化硅层108的沉积期间,图案化牺牲特征可被各向异性地蚀刻,产生特征110。然后可以去除氮化硅层108的一部分,产生间隔物112和残留特征114。可以去除残留特征114,并且可以修整间隔物112以形成覆盖衬底104的图案化结构102。图案化结构可以用作蚀刻衬底104的蚀刻掩模(例如覆盖另一层或块体衬底材料的硬掩模层)。
如图所示,当图案化牺牲特征106在氮化硅层沉积过程期间被基本各向异性地蚀刻时,图案化结构102可能呈现不期望的倾斜,这可能导致不期望的图案转移和/或图案转移到衬底104的不期望变化。下文描述的方法可促进图案化牺牲特征材料的相对各向同性去除,且促进图案转移到下方衬底。
图2示出了根据本公开示例的方法200。方法200可用于在衬底表面上形成图案化结构,同时减轻图案转移中的不希望变化,这可由在沉积氮化硅层的步骤期间图案化牺牲特征的材料去除的相对高的变化和/或不希望的图案引起。
方法200包括在反应室内提供衬底202并沉积包含氮化硅的层204的步骤。方法200还可以包括去除氮化硅层的一部分216,去除图案化牺牲特征218的剩余部分,以及蚀刻衬底的部分220。除非另有说明,示例性方法不需要包括所有这些步骤,而是可以包括这些步骤的任何子集。
在步骤202期间,在反应器系统的反应室内提供包括具有图案化(例如牺牲)特征的表面的衬底。图3示出了在步骤202期间使用的示例性衬底300。在此示例中,衬底300包括第一层或块体材料302、第二层304(例如待蚀刻的层)和包括图案化牺牲特征308的表面306。第一层或块体材料304可以包括例如硅或其他半导体材料;第二层306可以包括例如对氮化硅具有蚀刻选择性的一种或多种材料;图案化牺牲特征308可以包括例如光致抗蚀剂、旋涂碳、碳硬掩模和旋涂硬掩模中的一种或多种。
在步骤202期间使用的反应室可以是或包括配置为执行循环沉积过程的化学气相沉积反应器系统的反应室。反应室可以是独立的反应室或组合工具的一部分。
步骤202可以包括在反应室内将衬底加热到期望的沉积温度。在本公开的一些实施例中,步骤202包括将衬底加热到低于800℃的温度。例如,在本公开的一些实施例中,将衬底加热到沉积温度可以包括将衬底加热到约75℃和约350℃或者约250℃和300℃之间的温度。除了控制衬底的温度,还可以调节反应室内的压力。例如,在本公开的一些实施例中,在步骤202期间,反应室内的压力可以小于13333Pa、约1600Pa和约2400Pa或者约10Pa和约13333Pa之间。
在步骤204期间,在步骤202中提供的衬底上形成氮化硅层(例如氮化硅层402,如图4所示)。氮化硅层402可具有约5nm和约20nm或者约1nm和约50nm之间的厚度。
步骤204可以包括循环过程,该循环过程包括:在硅前体脉冲周期内向反应室提供硅前体206,在氮反应物脉冲周期内向反应室提供氮反应物208,在氢反应物脉冲周期内向反应室提供氢反应物210,以及在等离子体脉冲周期内提供等离子体功率以在反应室内形成等离子体212。步骤204期间的压力和/或温度可以与结合步骤202阐述的温度相同或相似。
图9示出了适用于方法200的步骤204的示例性时序900。在图示的示例中,在前体脉冲周期内向反应室提供硅前体902,在氮反应物脉冲周期内向反应室提供氮反应物904,在氢反应物脉冲周期内向反应室提供氢反应物906,并且在等离子体功率周期内施加(例如RF)等离子体功率以形成等离子体908。如图所示,序列900可以包括一个或多个沉积循环910;每个沉积循环包括硅前体脉冲周期902、氮反应物脉冲周期904、氢反应物脉冲周期906和等离子体功率周期908。在所示的情况下,沉积循环910包括循环沉积过程,比如PEALD过程。
如本文所用,脉冲周期是指气体(例如前体、反应物、惰性气体和/或载气)流向反应室的周期和/或施加功率(例如产生等离子体的功率)的周期。所示脉冲周期的高度和/或宽度不一定表示脉冲的特定量或持续时间。
序列900还可以包括载气脉冲周期912。在载气脉冲周期912期间,载气(例如用于促进提供前体)比如单独或任意组合的氩、氦、氮中的一种或多种被提供给反应室。载气的流量可以在约500和约5000sccm之间。
在图示的示例中,硅前体脉冲周期902在等离子体功率周期908之前停止。此外,脉冲周期904、906和载气脉冲周期912可以连续通过一个或多个沉积循环910。
回到图2,在步骤206期间,向反应室提供硅前体(例如在硅前体脉冲周期902内)。适用于前体步骤206/硅前体脉冲周期902的示例性前体可以选自氨基硅烷、卤化硅烷、甲硅烷和乙硅烷中的一种或多种。示例性氨基硅烷和卤代硅烷包括但不限于Si2Cl6、SiCl2H2、SiI2H2、双二乙基氨基硅烷、双二甲基氨基硅烷、六乙基氨基二硅烷、四乙基氨基硅烷、叔丁基氨基硅烷、双叔丁基氨基硅烷、三甲基甲硅烷基二乙胺、三甲基甲硅烷基二乙胺和双二甲基氨基二甲基硅烷。前体可以用载气稀释(例如取决于前体,载气中约1%至约99%体积百分比的前体)。具有载气的前体流量可以在约500到约5000sccm的范围内。前体脉冲周期902的持续时间范围可以从约0.05秒到约5秒。
在氮反应物脉冲周期208内向反应室提供氮反应物期间,氮反应物被提供给反应室(例如在氮反应物脉冲周期904内)。在所示示例中,在一个或多个沉积循环910期间(例如之前、期间和之后)连续提供氮反应物。示例性合适氮反应物包括选自氮(N2),N2O和NO的一种或多种氮反应物。氮反应物气体流量可以在约100至约10000sccm的范围内。步骤208/氮反应物脉冲周期904的持续时间可以在约0.1至约5秒或约0.01至约100秒的范围内,和/或可以延伸通过一个或多个沉积循环910。
在氢反应物脉冲周期210/氢反应物脉冲周期906内向反应室提供氢反应物期间,向反应室提供氢反应物。示例性氢反应物包括H2,NH3和N2H2。氢反应物气体流量可以在约0.1到约2000sccm的范围内。步骤210/氢反应物脉冲周期906的持续时间可在约0.1至约5秒或约0.01至约100秒的范围内,和/或可延伸通过一个或多个沉积循环910。
在等离子体脉冲周期212/等离子体功率周期908内提供等离子体功率以在反应室内形成等离子体期间,提供适于产生等离子体的功率。等离子体可以是在反应室内形成的直接等离子体。根据本公开的示例,在等离子体功率周期212期间提供的功率具有在约13MHz和约14MHz或者约26MHz和约28MHz之间的频率。在第一等离子体功率周期214期间施加的功率可大于零且小于1500W(例如对于300mm的衬底)或类似的功率密度(每衬底表面积),或对于300mm的衬底或类似的功率密度在约50W和约1500W之间。
图4示出了步骤204/序列900之后的器件结构。当在步骤204期间沉积氮化硅层402时(例如使用等离子体或其他受激物质沉积过程),牺牲特征308被活性物质(用具有实心箭头部的箭头示出)和化学物质(用具有带线条箭头部的箭头示出)轰击,以形成残留特征404。除了离子轰击之外,使用化学(例如氢)蚀刻导致图案化牺牲特征308的更均匀/各向同性蚀刻(例如与特征106相比),这又导致到层304的更可预测、更少变化的图案转移。
根据本公开的示例,可以控制图案化牺牲特征的蚀刻轮廓,如步骤214所示。步骤214可以包括例如通过操纵氢反应物的流量、氢反应物(相对于在步骤204和/或步骤212期间提供给反应室的其他气体)的流量比、反应室内的压力、等离子体功率、衬底温度和等离子体功率脉冲时间中的一个或多个来控制循环等离子体过程期间牺牲特征的蚀刻轮廓。作为特定示例,氢气与其他气体的体积流量比可以在0.02%和约0.07%之间,或者在约0.007%和约20%之间。
一旦步骤204(例如时序900)完成,可以在步骤216期间去除层402的一部分,以形成器件结构500,包括图案化结构502,也称为间隔物,如图5所示。层402的一部分可以使用例如干法蚀刻过程(例如使用活性氟)来去除。干法蚀刻过程期间的反应物可以包括例如约50至约300℃的NF3
在步骤218期间,剩余部分404被去除。例如,可以使用灰化过程来去除剩余部分404,以形成器件结构600,包括如图6所示的特征602(可能已被修整)。
在步骤220期间,可以使用图案化结构602作为模板掩模来蚀刻层304以形成器件结构700,如图7所示,并且可以去除特征602以形成器件结构800,如图8所示,其包括图案化结构802。器件结构700包括衬底304和在其上形成的图案化结构702。可以使用任何合适的蚀刻过程来形成特征702和802,并且将通常取决于层304的成分。图案化结构可以用作蚀刻下层的硬掩模,或者可以用于多重图案化过程中的后续步骤。
图10示出了结构1000,其指示了牺牲特征1008的测量位置,该牺牲特征位于衬底1010之上和氮化硅层1012之下。在所示的结构中,示出了顶部位置1002、中部位置1004和底部位置1006。图11示出了在氮化硅沉积步骤期间不含氢形成的样品(实线条)和在沉积步骤(例如沉积步骤204)中含氢的样品(阴影条)的平均宽度数据1100。如图所示,在氮化硅层沉积步骤期间,在沉积期间提供氢通常会减小牺牲特征(例如特征308)的平均宽度。图12示出了在沉积步骤期间不含氢形成的牺牲特征和在沉积步骤(例如步骤204)期间包括氢的样品的宽度范围(例如位置1002-106处的测量范围)。如图所示,与在沉积步骤中没有提供氢的情况下形成的样品相比,当向沉积步骤添加氢时,在牺牲衬底的不同位置处的测量范围明显较小。
现在转到图13,示出了根据本公开示例性实施例的反应器系统1300。反应器系统1300可用于执行本文所述的一个或多个步骤或者子步骤,和/或形成本文所述的一个或多个器件结构或其部分。
反应器系统1300包括在反应室1302的内部1301(反应区)中平行且彼此面对的一对导电平板电极1314、1318。虽然图示了一个反应室1302,但系统1300可以包括两个或更多个反应室。通过将例如来自等离子体功率源1308的RF功率施加到一个电极(例如电极1318)并将另一个电极(例如电极1314)电接地,可以在反应室1301内激发等离子体。温度调节器1303可以设置在下平台1314(下电极)中,并且放置在其上的衬底1322的温度可以保持在期望的温度,比如上述温度。电极1318可以用作气体分配装置,比如喷淋板或喷淋头。可以使用一个或多个气体管线(例如分别耦合到反应物源和前体源的反应物气体管线1304和前体气体管线1306)将前体气体、反应物气体和载气或惰性气体(如果有的话)等引入反应室1302。例如,可以使用管线1304将惰性气体和反应物(例如,如上所述)引入反应室1302,和/或可以使用管线1306将前体和载气(例如,如上所述)引入反应室。尽管示出了两个入口气体管线1304、1306,但反应器系统1300可以包括任何合适数量的气体管线。
在反应室1302中,可以提供具有排气管线1321的圆形导管1320,反应室1302的内部1301中的气体可以通过该导管排放到排气源1310。此外,下处理室1323可以设置有密封气体管线1329,以将密封气体经由转移室1323的内部(转移区)引入反应室1302的内部1301,其中可以设置用于分隔反应区和转移室1323的分隔板1325(该图中省略了闸阀,通过该闸阀将衬底转移到转移室1323中或从其转移出)。转移室1323也可设置有耦合至排气源1310的排气管线1327。在一些实施例中,载气向反应室1302的连续流动可以使用流通系统(FPS)来实现。
反应器系统1300可以包括一个或多个控制器1312,其被编程或以其他方式配置成使本文所述的一个或多个方法步骤得以实施。控制器1312与各种电源、加热系统、泵、机器人和气流控制器或反应器的阀耦合,如技术人员将理解。举例来说,控制器1312可以配置为控制前体、反应物和惰性气体进入一个或多个反应室中的至少一个的气流,以在衬底表面上形成层。控制器1312可以进一步配置为提供功率—例如在反应室1302内。控制器1312可以类似地配置成执行本文所述的附加步骤。举例来说,控制器1312可以配置为控制前体和反应物进入一个或多个反应室中的至少一个的气流,以形成覆盖衬底的氮化硅层。
控制器1312可以包括电子电路和软件,以选择性地操作系统1300中包括的阀、歧管、加热器、泵和其他部件。这种电路和部件用于从相应源引入前体、反应物和吹扫气体。控制器1312可以控制气体脉冲序列的时序、衬底和/或反应室的温度、反应室内的压力和各种其他操作,以提供系统1300的正确操作。
控制器1312可以包括控制软件,以电动或气动地控制阀来控制前体、反应物和/或吹扫气体流入和流出反应室1302。控制器1312可以包括执行某些任务的模块,比如软件或硬件部件,例如FPGA或ASIC。模块可以有利地配置为驻留在控制系统的可寻址存储介质上,并且配置为执行一个或多个过程。
在一些实施例中,可以使用双室反应器(用于处理彼此靠近设置的衬底的两个部分或隔室),其中反应物气体和惰性气体可以通过共享管线供应,而前体气体通过非共享管线供应。
在系统1300的操作期间,诸如半导体晶片的衬底从例如衬底处理区域1323转移到反应区1301。一旦衬底被转移到反应区1301,一种或多种气体比如前体、反应物、载气和/或吹扫气体被引入反应室1302。
上述公开的示例实施例不限制本发明的范围,因为这些实施例仅仅是本发明实施例的示例。任何等同的实施例都在本发明的范围内。实际上,除了在此示出和描述的实施例之外,本公开的各种修改比如所描述的要素的可替换的有用组合对于本领域技术人员来说从描述中将变得显而易见。这种修改和实施例也旨在落入所附权利要求的范围内。

Claims (21)

1.一种在衬底表面上形成图案化结构的方法,该方法包括以下步骤:
在反应室内提供包括形成在其上的牺牲特征的衬底;以及
使用循环等离子体过程,沉积覆盖牺牲特征的包含氮化硅的层,沉积包含氮化硅的层的步骤包括:
在硅前体脉冲周期内向反应室提供硅前体;
向反应室提供氮反应物;
向反应室提供氢反应物;并且
在等离子体脉冲周期内提供等离子体功率,以在反应室内形成等离子体,
其中,在沉积步骤期间,去除牺牲特征的一部分。
2.根据权利要求1所述的方法,其中,所述氮反应物选自由氮(N2),N2O和NO构成的组。
3.根据权利要求1所述的方法,其中,使用所述氢反应物各向同性地去除所述牺牲特征的一部分。
4.根据权利要求1-3中任一项所述的方法,其中,在提供等离子体功率的步骤期间,提供给所述反应室的气体中的氢反应物体积百分比在约0.02%和约0.07%之间或者在约0.007%和约20%之间。
5.根据权利要求1-4中任一项所述的方法,其中,所述循环等离子体过程包括等离子体增强原子层沉积过程。
6.根据权利要求1-5中任一项所述的方法,其中,在所述循环等离子体过程期间使用的功率频率在约13MHz和约14MHz或者约26MHz和约28MHz之间。
7.根据权利要求1-6中任一项所述的方法,其中,在所述等离子体脉冲周期期间的等离子体功率大于零且小于1500W。
8.根据权利要求1-7中任一项所述的方法,其中,所述硅前体脉冲周期、提供氮反应物和提供氢反应物重叠。
9.根据权利要求1-8中任一项所述的方法,其中,所述等离子体脉冲周期、提供氮反应物和提供氢重叠。
10.根据权利要求1-9中任一项所述的方法,其中,在所述循环等离子体过程期间,所述氮反应物被连续供应到所述反应室。
11.根据权利要求1-10中任一项所述的方法,其中,在所述循环等离子体过程期间,所述氢反应物被连续供应到所述反应室。
12.根据权利要求1-11中任一项所述的方法,还包括使用反应性离子蚀刻来去除包含氮化硅的层的一部分的步骤。
13.根据权利要求1-12中任一项所述的方法,还包括去除所述牺牲特征的剩余部分从而形成所述图案化结构的步骤。
14.根据权利要求13所述的方法,还包括使用所述图案化结构蚀刻所述衬底的一部分的步骤。
15.根据权利要求1-14中任一项所述的方法,还包括通过操纵所述氢反应物的流量、所述反应室内的压力、等离子体功率、衬底温度和等离子体功率脉冲时间中的一个或多个来在所述循环等离子体过程期间控制所述牺牲特征的蚀刻轮廓的步骤。
16.根据权利要求1-15中任一项所述的方法,还包括通过操纵所述氢反应物的流量比、所述反应室内的压力、等离子体功率、衬底温度和等离子体功率脉冲时间中的一个或多个来在所述循环等离子体过程期间控制所述牺牲特征的蚀刻轮廓的步骤。
17.根据权利要求1-16中任一项所述的方法,其中,所述牺牲特征包括光致抗蚀剂、旋涂碳、碳硬掩模和旋涂硬掩模中的一种或多种。
18.根据权利要求1-17中任一项所述的方法,其中,在沉积包含氮化硅的层的步骤期间的衬底温度在约250℃和约300℃或者约75℃和约350℃之间。
19.根据权利要求1-18中任一项所述的方法,其中,在沉积包含氮化硅的层的步骤期间,所述反应室内的压力在约1600Pa和约2400Pa或者约10Pa和约13333Pa之间。
20.一种根据权利要求1-19中任一项所述的方法形成的器件结构。
21.一种系统,包括:
反应室;
前体源管线;
反应物源管线;
等离子体功率源;
排气源;以及
控制器,
其中,所述控制器配置为控制进入反应室的硅前体、氮反应物和氢反应物的气流,
其中,所述控制器进一步配置成在至少一个反应室内提供等离子体功率,并且
其中,控制氢流量以在表面上沉积氮化硅的步骤期间控制从衬底表面去除的材料的轮廓。
CN202210354424.2A 2021-04-06 2022-04-02 用于形成包括氮化硅的图案化结构的方法和系统 Pending CN115198246A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202163171202P 2021-04-06 2021-04-06
US63/171,202 2021-04-06

Publications (1)

Publication Number Publication Date
CN115198246A true CN115198246A (zh) 2022-10-18

Family

ID=83448351

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202210354424.2A Pending CN115198246A (zh) 2021-04-06 2022-04-02 用于形成包括氮化硅的图案化结构的方法和系统

Country Status (4)

Country Link
US (1) US20220319858A1 (zh)
KR (1) KR20220138811A (zh)
CN (1) CN115198246A (zh)
TW (1) TW202302900A (zh)

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10468251B2 (en) * 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US11205711B2 (en) * 2019-09-26 2021-12-21 Taiwan Semiconductor Manufacturing Co., Ltd. Selective inner spacer implementations

Also Published As

Publication number Publication date
KR20220138811A (ko) 2022-10-13
US20220319858A1 (en) 2022-10-06
TW202302900A (zh) 2023-01-16

Similar Documents

Publication Publication Date Title
KR102588666B1 (ko) 기판 상의 구조물 형성 방법
TWI756350B (zh) 藉由熱ald及peald沉積氧化物膜之方法
TWI750151B (zh) 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻
US9627221B1 (en) Continuous process incorporating atomic layer etching
KR20210062561A (ko) 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN113140503A (zh) 形成高纵横比特征的方法
TW202035764A (zh) 選擇性沉積氮化矽層之方法及包括經選擇性沉積氮化矽層之結構
US20130113085A1 (en) Atomic Layer Deposition Of Films Using Precursors Containing Hafnium Or Zirconium
US20130115778A1 (en) Dry Etch Processes
US10964587B2 (en) Atomic layer deposition for low-K trench protection during etch
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统
US20230235453A1 (en) Method and system for forming a silicon oxycarbide layer and structure formed using same
CN114121650A (zh) 使用多重图案化过程形成图案化结构的方法和系统
US20220068647A1 (en) Method and system for forming patterned features on a surface of a substrate
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process
US20220319833A1 (en) Method and system for mitigating underlayer damage during formation of patterned structures
US20220108881A1 (en) Method and system for forming silicon nitride on a sidewall of a feature
US20230167544A1 (en) Method and system for forming a conformal silicon carbon nitride layer and structure formed using same
US20230407465A1 (en) METHOD OF FORMING SiOCN LAYER
KR20210145078A (ko) 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
CN115772657A (zh) 拓扑选择性沉积方法和使用该方法形成的结构
CN115142043A (zh) 用中间处理过程沉积氮化硅的方法和系统
KR20240008788A (ko) 실리콘 질화물을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
CN115142051A (zh) 使用低射频等离子体过程形成氮化硅层的方法和系统

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination