CN114121650A - 使用多重图案化过程形成图案化结构的方法和系统 - Google Patents

使用多重图案化过程形成图案化结构的方法和系统 Download PDF

Info

Publication number
CN114121650A
CN114121650A CN202110986819.XA CN202110986819A CN114121650A CN 114121650 A CN114121650 A CN 114121650A CN 202110986819 A CN202110986819 A CN 202110986819A CN 114121650 A CN114121650 A CN 114121650A
Authority
CN
China
Prior art keywords
plasma power
reactant
frequency
during
reaction chamber
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110986819.XA
Other languages
English (en)
Inventor
井上尚树
中野竜
山田信哉
土屋真央
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
ASM IP Holding BV
Original Assignee
ASM IP Holding BV
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM IP Holding BV filed Critical ASM IP Holding BV
Publication of CN114121650A publication Critical patent/CN114121650A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/32Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/042Coating on selected surface areas, e.g. using masks using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/4554Plasma being used non-continuously in between ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0338Process specially adapted to improve the resolution of the mask
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02142Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing silicon and at least one metal element, e.g. metal silicate based insulators or metal silicon oxynitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67103Apparatus for thermal treatment mainly by conduction

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

公开了形成适用于多重图案化过程的图案化结构的方法。示例性方法包括通过以下来形成覆盖衬底的层:在前体脉冲周期内向反应室提供前体,在反应物脉冲周期内向反应室提供反应物,在第一等离子体功率周期内施加具有第一频率(例如小于1MHz)的第一等离子体功率,以及可选地,在第二等离子体功率周期内施加具有第二频率的第二等离子体功率,其中第一频率不同于第二频率。

Description

使用多重图案化过程形成图案化结构的方法和系统
技术领域
本公开总体涉及用于在衬底表面上形成图案化结构的方法和系统。更具体地,本公开的示例涉及可用于形成适用于多重图案化过程的图案化结构的方法和系统。
背景技术
在电子器件的制造过程中,通过图案化衬底表面并使用例如湿法蚀刻和/或干法蚀刻过程从衬底表面去除材料,可以在衬底表面上形成特征的精细图案。光致抗蚀剂通常用于衬底表面的这种图案化。
光致抗蚀剂图案可以通过以下形成:在衬底表面上涂覆一层光致抗蚀剂,掩蔽光致抗蚀剂的表面,将光致抗蚀剂的未掩蔽部分暴露于辐射,例如紫外光或电子束,并去除光致抗蚀剂的一部分(例如未掩蔽或掩蔽部分),同时在衬底表面上留下光致抗蚀剂的一部分(例如未掩蔽或掩蔽部分中的另一个)。一旦光致抗蚀剂被图案化,图案化的光致抗蚀剂可被用作模板,用于在去除光致抗蚀剂的区域中蚀刻衬底表面上的材料,以在光致抗蚀剂下面的层中形成转移的图案。蚀刻后,剩余的光致抗蚀剂可被去除。
随着器件尺寸的减小,传统的光致抗蚀剂技术可能不适合形成所需尺寸的图案。在这种情况下,可以使用多重图案化技术来允许图案化和蚀刻小于光刻过程的曝光分辨率的特征。多重图案化过程可包括在图案化特征(例如图案化光致抗蚀剂)周围形成间隔物,去除图案化特征以形成图案化结构,以及在后续蚀刻期间使用图案化结构作为掩模。
尽管这种技术在一些应用中可以相对较好地工作,但一旦图案化特征被去除,图案化结构可能从竖直位置变化或倾斜。图案化结构的倾斜会在后续蚀刻步骤和最终图案转移中引起不希望的变化。随着图案化结构的尺寸减小,这变得越来越成问题。
因此,需要在衬底表面上形成图案化结构的改进方法。此外,还需要包括图案化结构的器件结构。并且,还需要用于执行该方法的系统。
在本部分中阐述的问题和解决方案的任何讨论已被包括在本公开中仅是为了提供本公开的情况,不应被认为是承认任何或所有讨论在本发明做出时是已知的。
发明内容
本公开的各种实施例涉及在衬底表面上形成图案化结构的方法以及用于形成图案化结构的系统。图案化结构可用于形成器件,例如半导体器件和其他电子器件。
虽然本公开的各种实施例解决现有方法和系统的缺点的方式将在下面更详细地讨论,但通常,本公开的各种实施例提供了在衬底表面上形成具有期望(例如机械)特性的图案化结构的改进方法。图案化结构可以具有相对高的硬度,表现出相对低的应力,和/或表现出相对低的蚀刻速率。由于它们的一个或多个期望特性,根据本公开示例的图案化结构可以相对较短,从而在蚀刻下层时允许相对精确的图案转移。
根据本公开的示例,公开了一种使用多重图案化过程形成图案化结构的方法。该方法包括在反应室内提供衬底,并形成覆盖衬底的层。衬底包括包含有图案化特征的表面。形成步骤可以包括在前体脉冲周期内向反应室提供前体,在反应物脉冲周期内向反应室提供反应物,在第一等离子体功率周期施加具有第一频率的第一等离子体功率,以及可选地在第二等离子体功率周期施加具有第二频率的第二等离子体功率。如下文更详细阐述,在反应物脉冲周期内向反应室提供反应物的步骤可以通过该方法的一个或多个其他步骤和/或通过一个或多个沉积循环连续进行。第一频率可以不同于(例如低于)第二频率。根据本公开的示例,第一频率小于1MHz(例如在约300kHz和约500kHz之间)。根据本公开的示例,施加第一等离子体功率的步骤和施加第二等离子体功率的步骤重叠。在一些情况下,可省略施加第二等离子体功率的步骤。根据进一步的实例,形成层的步骤包括循环沉积过程。根据进一步的实例,反应物脉冲周期与第一等离子体功率周期和第二等离子体功率周期中的一个或多个重叠。在至少一些情况下,前体脉冲周期与第一等离子体功率周期和第二等离子体功率周期中的一个或多个不重叠。根据本公开的另外示例性实施例,该方法包括在反应物脉冲周期内向反应室提供反应物的步骤期间提供惰性气体的步骤,其中惰性气体与反应物的比率大于或等于2。当惰性气体与反应物的比率大于或等于2时,可以保持期望的机械性能(例如硬度和低应力)。另外或可替代地,惰性气体可以大于3slm或在大于3slm和约6slm之间的流量提供给反应室。在形成步骤的一个或多个循环期间,反应室内的压力可以大于400Pa,或者在大于400Pa和约500Pa或约450±50Pa之间。
该层可以是或包括例如氮化物或氧化物,例如金属氧化物、氧化硅、硅金属氧化物、金属氮化物、氮化硅或硅金属氮化物。如下文更详细讨论,层和/或图案化特征的一个或多个(例如机械)特性可以通过以下而被操纵:操纵到反应室的惰性气体:反应物流量比、操纵第一等离子体功率的功率(例如LRF)、操纵惰性气体流量、操纵反应室内的压力和/或操纵第一等离子体功率的持续时间中的一个或多个。
根据本公开的进一步实施例,提供了一种器件结构。器件结构可以根据本文所述的方法形成。器件结构可以包括衬底和在其上或其中形成的一个或多个图案化结构。
根据本公开的进一步示例,使用本文所述的器件结构形成器件。
根据本公开的又一些示例,提供了一种配置为执行如本文所述的方法和/或形成器件结构的系统。
参考附图,通过下面对某些实施例的详细描述,这些和其他实施例对于本领域技术人员来说将变得显而易见;本发明不限于所公开的任何特定实施例。
附图说明
当结合以下说明性附图考虑时,通过参考详细描述和权利要求,可以获得对本公开的示例性实施例的更完整理解。
图1示出了根据本公开的至少一个实施例的方法。
图2示出了根据本公开的至少一个实施例的时序。
图3-7示出了根据本公开的示例性实施例的结构。
图8示出了根据本公开的至少一个实施例的系统。
图9示出了根据本公开的示例形成的层的硬度和应力值。
图10示出了根据本公开的附加示例形成的层的硬度和应力值。
应当理解,附图中的元件是为了简单和清楚而示出的,并不一定是按比例绘制的。例如,图中一些元件的尺寸可能相对于其他元件被放大,以帮助提高对本公开的所示实施例的理解。
具体实施方式
尽管下面公开了某些实施例和示例,但本领域的技术人员将会理解,本发明超出具体公开的实施例和/或本发明的用途及其明显的修改和等同物。因此,所公开的本发明的范围不应被下面描述的特定公开实施例所限制。
本公开总体涉及在衬底表面上形成图案化结构的方法、包括图案化结构的器件结构以及用于执行该方法和/或形成器件结构的系统。如下文更详细描述,示例性方法可用于形成适于形成电子器件的器件结构。例如,示例性方法可用于在衬底表面上形成图案化结构。图案化结构可用作蚀刻掩模或图案化特征,用于形成下一组图案化结构。
如下文更详细阐述,示例性方法和系统可用于形成具有所需特性的图案化结构,这允许更精确的图案转移、更可靠的器件制造、更小或更高间距的蚀刻图案的形成以及更可靠的器件性能。
在本公开中,气体可以包括在常温常压下为气体的材料、蒸发的固体和/或蒸发的液体,并且根据情况可以由单一气体或气体混合物构成。除了过程气体之外的气体,例如不经过气体分配组件(例如喷淋头、其他气体分配装置等)而引入的气体,可以用于例如密封反应空间,并且可以包括密封气体,例如稀有或其他惰性气体。术语惰性气体是指在施加等离子体功率时不在可感知的程度上参与化学反应的气体和/或能够激发前体的气体。术语前体和反应物可以互换使用。
如本文所用,术语衬底可以指可用于形成或可在其上形成器件、电路或薄膜的任何底层材料。衬底可以包括块体材料,比如硅(例如单晶硅)、其他第四族材料,例如锗,或者化合物半导体材料,例如GaAs,并且可以包括在块体材料之上或之下的一个或多个层。此外,衬底可以包括各种特征,例如在衬底层的至少一部分内或上形成的凹部、线等。通过特定示例,衬底可以包括块体半导体材料和/或待蚀刻的层。
在一些实施例中,膜是指在垂直于厚度方向的方向上延伸以覆盖整个目标或相关表面的层,或者简单地说是覆盖目标或相关表面的层。在一些实施例中,层是指在表面上形成的具有一定厚度的结构,或者膜或非膜结构的同义词。层可以是连续的,也可以是不连续的。膜或层可以由具有特定特性的离散的单个膜或层或者多个膜或层构成,并且相邻膜或层之间的边界可以是或不是清晰的,并且可以是或不是基于相邻膜或层的物理、化学和/或任何其他特性、形成过程或顺序和/或功能或目的而建立的。
在本公开中,连续可以指不打破真空、不中断作为时间线、不进行任何材料介入步骤、不改变处理条件、紧接其后作为下一步骤、或者在一些实施例中在除了两个结构之外的两个结构之间不介入离散物理或化学结构中的一个或多个。例如,反应物和/或惰性气体可以在方法的两个或更多个步骤和/或沉积循环中连续供应。
术语循环的沉积过程或循环沉积过程可以指将前体(和/或反应物)顺序引入反应室以在衬底上沉积层,并且包括诸如原子层沉积(ALD)、循环化学气相沉积(循环CVD)和包括ALD成分和CVD成分的混合循环沉积过程的处理技术。
如本文所用,术语原子层沉积(ALD)可以指气相沉积过程,其中沉积循环优选多个延续或连续的沉积循环在处理室中进行。通常,在每个循环期间,前体被引入并可以化学吸附到沉积表面(例如衬底表面或先前沉积的下伏表面,例如来自先前ALD循环的材料),形成不容易与额外前体反应(即自限制反应)的单层或亚单层。此后,反应物(例如另一种前体或反应气体)可随后被引入处理室,用于将化学吸附的前体转化为沉积表面上的所需材料。通常,该反应物能够与前体进一步反应。此外,在每个循环期间还可以利用吹扫步骤来从处理室中去除过量的前体和/或在化学吸附的前体转化之后从处理室中去除过量的反应物和/或反应副产物。此外,如本文所用,术语原子层沉积也意味着包括由相关术语指定的过程,例如化学气相原子层沉积、原子层外延(ALE)、分子束外延(MBE)、气源MBE或有机金属MBE,以及当用前体成分、反应气体和吹扫气体(例如惰性载气)的交替脉冲执行时的化学束外延。PEALD是指ALD过程,其中在一个或多个ALD步骤中施加等离子体。
如本文所用,术语吹扫可指其中惰性或基本惰性气体被提供到相互反应的两个气体脉冲之间的反应室的过程。例如,可以在前体脉冲和反应物脉冲之间提供吹扫,从而避免或至少最小化前体和反应物之间的气相相互作用。应当理解,吹扫可以在时间或空间或二者上进行。例如,在临时吹扫的情况下,可以使用吹扫步骤,例如按以下临时顺序:向反应室提供第一前体、向反应室提供吹扫气体和向反应室提供第二前体,其中其上沉积有层的衬底不移动。例如,在空间吹扫的情况下,吹扫步骤可以采取以下形式:通过吹扫气帘将衬底从供应第一前体的第一位置移动到供应第二前体的第二位置。
如本文所用,氧化硅是指包括硅和氧的材料。氧化硅可以由式SiO2表示。在一些情况下,氧化硅可以不包括化学计量的氧化硅。在一些情况下,氧化硅可以包括其他元素,例如碳、氮、氢等。
如本文所用,氮化硅是指包括硅和氮的材料。氮化硅可以由式Si3N4表示。在一些情况下,氮化硅可以不包括化学计量的氮化硅。在一些情况下,氮化硅可以包括其他元素,例如碳、氮、氢等。
如本文所用,金属氧化物是指包括至少一种金属和氧的材料。金属氧化物可以由式TiO2表示。在一些情况下,金属氧化物可以不包括化学计量的金属氧化物。在一些情况下,金属氧化物可以包括其他元素,例如碳、氮、氢等。示例性金属包括钛。
如本文所用,金属氮化物是指包括至少一种金属和氮的材料。金属氮化物可以由式TiN表示,其中钛可以是一种或多种金属。在一些情况下,金属氮化物可以不包括化学计量的金属氮化物。在一些情况下,金属氮化物可以包括其他元素,例如碳、氮、氢等。示例性金属包括钛。
硅金属氧化物可以是另外包含硅的金属氧化物。硅金属氧化物可以由式SixM(1-x)Oy表示,其中M表示至少一种金属元素,x小于1包括零,y约为2或化学计量确定的数,其中M是金属,例如钛。
硅金属氮化物可以是另外包含硅的金属氮化物。金属硅氮化物可以由式SixM(1-x)Ny表示,其中M表示至少一种金属元素,x小于1包括零,y是合适的或化学计量确定的数字。M可以是或包括例如钛。
如本文所用,术语重叠可以指在时间上和在反应室内重合。例如,当两个或更多个等离子体功率周期重叠时,存在两个或更多个等离子体功率周期中的每个的功率被提供给反应室的时间段。类似地,关于气体脉冲周期,例如前体脉冲周期和反应物脉冲周期,当来自相应脉冲周期的气体在反应室中或提供给反应室一段时间时,两个或更多个气体脉冲周期可以重叠。
此外,在本公开中,变量的任意两个数字可以构成该变量的可行范围,并且指示的任何范围可以包括或排除端点。此外,指示的变量的任何值(不管它们是否用约指示)可以指精确值或近似值,并且包括等同物,并且在一些实施例中可以指平均值、中值、代表性、大多数等。此外,在本公开中,在一些实施例中,术语包括、包含、由…构成和具有可以独立地指通常或广泛地包括、基本由…构成或由…构成。在本公开中,在一些实施例中,任何定义的含义不一定排除普通和习惯含义。
现在转向附图,图1示出了根据本公开的示例形成图案化结构的方法100(例如使用多重图案化过程)。方法100包括以下步骤:在反应室内提供衬底(步骤102),形成覆盖衬底的层(步骤104),去除该层的一部分(步骤106),去除图案化特征(步骤108),以及蚀刻底层(步骤110)。尽管以步骤102-110示出,但示例性方法不需要包括所有这些步骤,而是可以包括这些步骤的任何子集。
在步骤102期间,在反应器系统的反应室内提供包括包含图案化特征的表面的衬底。图3示出了在步骤102期间使用的示例性衬底300。在该示例中,衬底(器件结构)包括第一层或块体材料304、第二层306(例如待蚀刻的层)和表面302,包括图案化特征308-312。第一层或块体材料304可以包括例如硅或其他半导体材料;第二层306可以包括例如对用于形成特征308-312和层402的材料具有蚀刻选择性的一种或多种材料,并且图案化特征308-312可以包括例如光致抗蚀剂、碳硬掩模材料、非晶硅或多晶硅中的一种或多种。
再次回到图1,在步骤102期间使用的反应室可以是或包括化学气相沉积反应器系统的反应室,其配置为执行循环沉积过程。反应室可以是独立的反应室,也可以是群集工具的一部分。
步骤102可以包括在反应室内将衬底加热到期望的沉积温度。在本公开的一些实施例中,步骤102包括将衬底加热到低于800℃的温度。例如,在本公开的一些实施例中,将衬底加热到沉积温度可以包括将衬底加热到约50℃和约300℃之间的温度。除了控制衬底的温度之外,还可以调节反应室内的压力。例如,在本公开的一些实施例中,步骤102期间反应室内的压力可以小于760托或在0.2托和760托之间或约1.5托和10托之间。在一些情况下,在步骤102和/或步骤104期间,反应室内的压力大于400Pa或在大于400Pa和约500Pa或约450±50Pa之间。
在步骤104期间,形成覆盖在步骤102中提供的衬底的层(例如图4所示的层402)。层402可以是或包括例如氮化物或氧化物,比如金属氧化物、氧化硅、硅金属氧化物、金属氮化物、氮化硅或硅金属氮化物。由于层402的期望特性,层402可以相对较薄,例如小于约20nm。特别地,如下面结合图9和10更详细讨论,层402可以具有相对低的应力和相对高的硬度,这允许形成具有较低厚度的图案化结构或层402。此外,与用于形成图案化结构的典型膜相比,层402可以表现出高蚀刻选择性。
步骤104可以包括在前体脉冲周期内向反应室提供前体,在反应物脉冲周期内向反应室提供反应物,在第一等离子体功率周期内施加具有小于1MHz的第一频率的第一等离子体功率,以及可选地在第二等离子体功率周期内施加具有第二频率的第二等离子体功率。根据本公开的示例,第一频率不同于第二频率。此外,步骤104期间的压力和/或温度可以与结合步骤102阐述的温度相同或相似。
如本文所用,脉冲周期是指气体(例如前体、反应物、惰性气体和/或载气)流向反应室的周期和/或施加功率(例如产生等离子体的功率)的周期。所示脉冲周期的高度和/或宽度不一定表示脉冲的特定量或持续时间。
图2示出了适于形成覆盖衬底的层的步骤104的示例性时序200。在示出的示例中,前体在前体脉冲周期212内被提供给反应室,反应物在反应物脉冲周期204内被提供给反应室,在第一等离子体功率周期214期间施加第一等离子体功率(例如具有小于1MHz的频率)以形成等离子体,并且可选地,在第二等离子体功率周期内施加具有第二频率的第二等离子体功率。第二频率可以比第一频率(小于1MHz或者例如约300kHz和约500kHz)更高(例如在约13MHz和约14MHz之间或者约26MHz和约28MHz之间)。如图所示,时序200可以包括一个或多个沉积循环218;每个沉积周期可以包括前体脉冲周期212、第一等离子体功率周期214和可选的第二等离子体功率周期216。在所示的情况下,沉积循环218包括循环沉积过程。上述温度和/或压力可以理想地在一个或多个沉积循环218之前稳定。
在前体脉冲周期212期间,向反应室提供前体。在图示的示例中,在反应物被提供给反应室之后并且在提供形成等离子体的功率之前,提供前体。此外,如图所示,前体脉冲周期212在第一等离子体功率周期214和第二等离子体功率周期216之前停止。
适用于前体脉冲周期212的示例性前体包括硅和金属中的一种或多种。例如,前体可以包括金属前体、硅前体或两者。示例性硅前体可以选自氨基硅烷、卤化硅烷、甲硅烷和乙硅烷作为前体。氨基硅烷和卤化硅烷包括但不限于Si2Cl6、SiCl2H2、SiI2H2、双二乙基氨基硅烷、双二甲氨基硅烷、六乙基氨基二硅烷、四乙基氨基硅烷、叔丁基氨基硅烷、双叔丁基氨基硅烷、三甲基甲硅烷基二乙胺、三甲基甲硅烷基二乙胺和双二甲氨基二甲基硅烷。示例性金属前体可以包括Ti,W,Ta,Mn,Hf和/或Ru中的一种或多种。例如,氧化钛的前体可以是选自烷氧基钛和烷基氨基钛的至少一种化合物,包括Ti(OR)4,其中R独立地是CxHy(x=0,1,2,3,4或5,y=2x+1),并且每个R可以不同(例如Ti(OCH3)2(OC2H5)(OC3H7));Ti(NR2)4,其中R独立地是CxHy(x=0,1,2,3,4或5,y=2x+1),并且每个R可以不同(例如Ti(N(CH3)(C2H5))4)。除氧化钛之外的金属氧化物的前体也可以选自任何合适的化合物。一般来说,烷基氨基前体比如四-二甲基氨基钛(TDMAT)可以提供比烷氧基前体比如四异丙醇钛(TTIP)更高的膜生长速率,因为具有较小分子尺寸的前体比如TDMAT倾向于具有较小的空间位阻,从而与具有较大分子尺寸的前体比如TTIP相比具有更多的吸附位点。具有载气流量的前体可以在约500至约5000sccm的范围内。前体脉冲周期212的持续时间可以在约0.05到约5秒的范围内。在反应物脉冲周期204期间,反应物被提供给反应室。在图示的示例中,在一个或多个沉积循环218期间(例如之前、期间和之后)连续提供反应物。
适用于反应物脉冲周期204的示例性反应物包括单独的或与惰性气体任意组合的氧、氢和氮中的一种或多种。在一些情况下,反应物可以包括N2+H2,H2或NH3,O2,N2O,CO等的混合物。反应物气体流量可以在约100到约10000sccm的范围内。
在第一等离子体功率周期214期间,提供适于产生等离子体的功率。等离子体可以是在反应室内形成的直接等离子体。根据本公开的示例,在第一等离子体功率周期214期间提供的功率具有相对较低的频率—例如,小于1MHz或者在约300kHz和约500kHz之间。在第一等离子体功率周期214期间施加的功率可以小于500W(例如对于300mm衬底)或类似的功率密度,或者对于300mm衬底在约50W和约500W之间或类似的功率密度。在附图和描述中,LRF对应于低频功率,HRF对应于高频功率。
虽然图案化结构可以仅使用较高频率功率(例如13.56MHz)来形成,但形成层402通常需要较高功率(例如500W)和较长等离子体时间。图案化特征可能被损坏—例如由于在较高功率和/或较长时间下仅使用较高频率功率而消耗图案化特征。损坏的特征又会导致后续蚀刻过程中不希望的变化。
在第二等离子体功率周期216期间,可选地提供适于产生等离子体的第二功率。在第二等离子体功率周期216期间提供的功率频率高于在第一等离子体功率周期214期间提供的功率频率。例如,频率可以在约13MHz和约14MHz之间或者在约26MHz和约28MHz之间。在周期216期间施加的功率(W)可以与在周期214期间提供的功率相同或相似。
在一些情况下,在第一等离子体功率周期214和/或第二等离子体功率周期216期间供应的功率可以是连续的或脉冲的。第一等离子体功率周期和第二等离子体功率周期中的一个或多个的持续时间可以大于0且小于0.5秒,和/或持续时间/周期可以大于0至约0.5秒/脉冲。
如图2所示,在一些情况下,施加第一等离子体功率的步骤(第一等离子体功率周期)和施加第二等离子体功率的步骤(第二等离子体功率周期)重叠。在一些情况下,方法可以不包括施加第二等离子体功率的步骤。
时序200还可以包括惰性气体脉冲周期202、载气脉冲周期206、密封气体脉冲周期208和压力脉冲周期210。惰性气体脉冲周期202可以包括提供惰性气体(例如与反应物脉冲周期204期间提供的反应物一起或重叠)。惰性气体脉冲周期202的持续时间可以与反应物脉冲周期204的持续时间相同或相似。惰性气体的流量可以在约0到约10000sccm之间。作为具体的示例,惰性气体可以是或包括氩气;在步骤202期间惰性气体的流量可以大于3slm或在大于3slm和约6slm之间。如图10所示,当惰性气体(例如Ar)流量大于3slm时,膜应力低于现有条件,而膜硬度几乎与现有条件相同。例如,当过程压力为425Pa,Ar流量为6slm,HRF为225W且LRF为150W时,膜硬度为8.09GPa且膜应力为-235MPa。
在载气脉冲周期206期间,将单独的或以任何组合的载气(例如用于促进提供前体)比如氩气、氦气中的一种或多种提供给反应室。载气的流量可以在约500到约5000sccm之间。
在密封气体脉冲周期208期间,密封气体可被引入反应器的内部,以促进反应器内部内的反应区和转移区的分离。如图所示,密封气体脉冲周期208可以在沉积循环之前开始,并在最后一个沉积循环之后结束。
表1示出了根据本公开特定示例的示例性过程条件。该表意在说明示例性条件,而不一定限制本公开的范围。
Figure BDA0003230980040000111
回到图1,一旦步骤104(例如时序200)完成,层402的一部分可被去除以形成器件结构500,包括图案化结构502-508,也称为间隔物,如图5所示。层402的一部分可以使用例如干法蚀刻过程(例如使用活化氟)去除。干法蚀刻过程中的反应物可以包括例如约50℃至约300℃的NF3
在步骤108期间,可以去除图案化特征308-312或其任何剩余部分。例如,灰化过程可用于去除图案化特征308-312以形成器件结构600,如图6所示。
在步骤110期间,可以使用图案化结构502-508作为模板掩模来蚀刻层306,以形成器件结构700,如图7所示。器件结构700包括衬底304和在其上形成的图案化结构702-708。任何合适的蚀刻过程都可以用于形成特征702-708,并且通常取决于层306的构成。
现在转向图8,示出了根据本公开的示例性实施例的反应器系统800。反应器系统800可用于执行如本文所述的一个或多个步骤或子步骤和/或形成如本文所述的一个或多个器件结构或其部分。
反应器系统800包括在反应室802的内部801(反应区)中平行且彼此面对的一对导电平板电极814、818。尽管用一个反应室802来说明,但系统800可以包括两个或更多个反应室。通过从等离子体电源808向一个电极(例如电极818)施加例如LRF或LRF+HRF功率并将另一个电极(例如电极814)电接地,可以在反应室3内激发等离子体。温度调节器803可以设置在下平台814(下电极)中,并且放置在其上的衬底822的温度可以保持在期望的温度,例如上述温度。电极818可以用作气体分配装置,例如喷淋板或喷淋头。前体气体、反应物气体和载气或惰性气体(如果有的话)等可以使用一个或多个气体管线(例如分别联接到反应物源和前体源的反应物气体管线804和前体气体管线806)而被引入反应室802。例如,可以使用管线804将惰性气体和反应物(例如如上所述)引入反应室802,和/或可以使用管线806将前体和载气(例如如上所述)引入反应室。尽管图示了两条入口气体管线804、806,但反应器系统800可以包括任何合适数量的气体管线。
在反应室802中,可以提供具有排气管线821的圆形管道820,反应室3的内部801中的气体可以通过该管道排放到排气源810。此外,转移室823可以设置有密封气体管线829,以将密封气体经由转移室823的内部(转移区)引入反应室802的内部801,其中可以设置用于分离反应区和转移室823的分离板825(从该图中省略了衬底通过其转移到转移室823中或从中转移出来的闸阀)。转移室823还可以设置有联接到排气源810的排气管线827。在一些实施例中,载气到反应室802的连续流动可以使用流通系统(FPS)来实现。
反应器系统800可以包括一个或多个控制器812,其被编程或以其他方式配置成使得本文描述的一个或多个方法步骤得以实施。控制器812与反应器的各种电源、加热系统、泵、机器人和气体流量控制器或阀耦合,如本领域技术人员将理解的。举例来说,控制器812可以配置成控制前体、反应物和惰性气体进入一个或多个反应室中的至少一个的气体流量以在衬底表面上形成层。控制器812可以进一步配置为提供功率(例如LRF或LRF+HRF)以形成等离子体—例如在反应室802内。控制器812可以类似地配置成执行如本文描述的附加步骤。举例来说,控制器812可以配置成控制前体和反应物进入一个或多个反应室中的至少一个的气体流量以形成覆盖衬底的层,并在至少一个反应室内提供第一等离子体功率和可选的第二等离子体功率,其中第一等离子体功率的第一频率不同于第二等离子体功率的第二频率。
控制器812可以包括电子电路和软件,以选择性地操作系统800中包括的阀、歧管、加热器、泵和其他部件。这种电路和部件操作成从相应的源引入前体、反应物和吹扫气体。控制器812可以控制气体脉冲序列的定时、衬底和/或反应室的温度、反应室内的压力以及各种其他操作,以提供系统800的正确操作。
控制器812可以包括控制软件,以电动或气动地控制阀来控制前体、反应物和/或吹扫气体进出反应室802的流量。控制器812可以包括执行特定任务的模块,比如软件或硬件部件,例如FPGA或ASIC。模块可以有利地配置为驻留在控制系统的可寻址存储介质上,并且配置为执行一个或多个过程。
在一些实施例中,可以使用双室反应器(用于处理彼此靠近设置的衬底的两个部分或隔室),其中反应物气体和惰性气体可以通过共享管线供应,而前体气体通过非共享管线供应。
在系统800的操作期间,诸如半导体晶片的衬底从例如衬底处理区域823转移到反应区801。一旦衬底被转移到反应区801,一种或多种气体比如前体、反应物、载气和/或吹扫气体被引入反应室802。
图9示出了仅使用高频率(HRF)功率、仅使用低频率(LRF)功率、使用HRF和LRF功率、仅使用1秒钟的HRF功率以及可选地使用更高的惰性气体:反应物气体比率(大于2)的HRF和LRF形成的层的应力(MPa)和硬度(GPa)信息。硬度通常随着LRF功率的增加而增加(例如从约0到100W到约200W)。还表明,随着LRF功率的增加(例如从约0到100W到约200W),应力和干蚀刻速率通常降低。事实上,应力随着LRF的增加而变得压缩。膜应力通常与图案化结构的倾斜有关,因此希望保持膜应力低。还表明在该层的沉积过程中通过增加LRF功率改善(提高)弹性模量。
HRF和LRF功率和仅低频率(LRF)功率膜的机械特性表现出所需的硬度和应力。此外,在这些功率设置下的惰性气体:反应物气体比率大于2产生具有所需特性的膜,包括所需的(相对低的)蚀刻速率和/或高蚀刻速率比率。层和/或图案化特征的特性(例如机械特性,比如本文所述的那些)可以通过以下而被操纵:操纵到反应室的惰性气体:反应物流量比率、操纵第一等离子体功率的功率(例如LRF)和/或操纵第一等离子体功率的持续时间中的一个或多个。例如,可以增加惰性气体:反应物流量比率和/或LRF功率,以降低应力和/或降低蚀刻速率和/或增加层/结构的硬度。因此,示例性方法比如方法100还可以包括通过例如操纵惰性气体:反应物流量比率和/或操纵第一等离子体功率中的一个或多个来操纵图案化结构的特性。
上述公开的示例性实施例不限制本发明的范围,因为这些实施例仅仅是本发明实施例的示例。任何等同的实施例都在本发明的范围内。实际上,除了在此示出和描述的实施例之外,本公开的各种修改,例如所描述的元件的替代有用组合,对于本领域技术人员来说从描述中变得显而易见。这种修改和实施例也旨在落入所附权利要求的范围内。

Claims (26)

1.一种使用多重图案化过程形成图案化结构的方法,该方法包括以下步骤:
在反应室内提供衬底,该衬底包括含有图案化特征的表面;以及
形成覆盖衬底的层,其中形成该层的步骤包括:
在前体脉冲周期内向反应室提供前体;
在反应物脉冲周期内向反应室提供反应物;
在第一等离子体功率周期内施加具有小于1MHz的第一频率的第一等离子体功率;以及
可选地,在第二等离子体功率周期内施加具有第二频率的第二等离子体功率,
其中第一频率不同于第二频率。
2.根据权利要求1所述的方法,其中,施加第一等离子体功率的步骤和施加第二等离子体功率的步骤重叠。
3.根据权利要求1或2所述的方法,其中,所述第一频率在约300kHz和约500kHz之间。
4.根据权利要求1-3中任一项所述的方法,其中,所述第二频率在约13MHz和约14MHz之间或者在约26MHz和约28MHz之间。
5.根据权利要求1-4中任一项所述的方法,其中,形成所述层的步骤包括循环沉积过程。
6.根据权利要求1-5中任一项所述的方法,其中,所述反应物脉冲周期与所述第一等离子体功率周期和第二等离子体功率周期中的一个或多个重叠。
7.根据权利要求1-6中任一项所述的方法,其中,所述前体脉冲周期与所述第一等离子体功率周期和第二等离子体功率周期中的一个或多个不重叠。
8.根据权利要求1-7中任一项所述的方法,其中,所述第一等离子体功率周期和第二等离子体功率周期中的一个或多个的持续时间大于0且小于0.5秒/循环或约0至约0.5秒/脉冲。
9.根据权利要求1-8中任一项所述的方法,其中,在所述第一等离子体功率周期期间的等离子体功率小于500W/300mm晶片。
10.根据权利要求1-9中任一项所述的方法,其中,在所述第二等离子体功率周期期间的等离子体功率为约50W/300mm晶片至约500W/300mm晶片。
11.根据权利要求1-10中任一项所述的方法,还包括在反应物脉冲周期内向反应室提供反应物的步骤期间提供惰性气体,其中惰性气体与反应物的比率大于或等于2。
12.根据权利要求11所述的方法,其中,所述惰性气体包括单独的或任意组合的氩、氦中的一种或多种。
13.根据权利要求1-12中任一项所述的方法,其中,所述前体包括硅和金属中的一种或多种。
14.根据权利要求1-13中任一项所述的方法,其中,所述反应物包括氧、氢和氮中的一种或多种。
15.根据权利要求1-14中任一项所述的方法,其中,所述层包括氧化物和氮化物中的一种或多种。
16.根据权利要求15所述的方法,其中,所述层包括金属氧化物、氧化硅、硅金属氧化物、金属氮化物、氮化硅或硅金属氮化物。
17.根据权利要求1-16中任一项所述的方法,其中,所述图案化特征包括光致抗蚀剂、碳硬掩模材料、非晶硅或多晶硅中的一种或多种。
18.一种操纵层的机械性能的方法,包括根据权利要求1-17中任一项所述的方法。
19.根据权利要求18所述的方法,还包括操纵惰性气体:反应物流量比的步骤。
20.根据权利要求18或19所述的方法,还包括操纵第一等离子体功率的步骤。
21.根据权利要求1-20中任一项所述的方法,其中,所述第一等离子体功率在第一等离子体功率周期期间是连续的或脉冲的。
22.根据权利要求1-21中任一项所述的方法,其中,所述第二等离子体功率在第二等离子体功率周期期间是连续的或脉冲的。
23.根据权利要求1-22中任一项所述的方法,其中,在形成所述层的步骤期间惰性气体的流量大于3slm。
24.根据权利要求1-23中任一项所述的方法,其中,所述反应室内的压力为450±50Pa。
25.一种根据权利要求1-24中任一项所述的方法形成的器件结构。
26.一种系统,包括:
一个或多个反应室;
前体源管线;
反应物源管线;
具有第一频率的第一等离子体功率源;
具有第一频率的第二等离子体功率源;
排气源;以及
控制器,
其中控制器配置成控制进入一个或多个反应室中的至少一个的前体和反应物的气体流量,以形成覆盖衬底的层,
其中控制器还配置为在至少一个反应室内提供第一等离子体功率并且可选地提供第二等离子体功率,并且
其中第一等离子体功率的第一频率不同于第二等离子体功率的第二频率。
CN202110986819.XA 2020-08-27 2021-08-26 使用多重图案化过程形成图案化结构的方法和系统 Pending CN114121650A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063070950P 2020-08-27 2020-08-27
US63/070,950 2020-08-27

Publications (1)

Publication Number Publication Date
CN114121650A true CN114121650A (zh) 2022-03-01

Family

ID=80356959

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110986819.XA Pending CN114121650A (zh) 2020-08-27 2021-08-26 使用多重图案化过程形成图案化结构的方法和系统

Country Status (4)

Country Link
US (1) US20220068639A1 (zh)
KR (1) KR20220027772A (zh)
CN (1) CN114121650A (zh)
TW (1) TW202229601A (zh)

Family Cites Families (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220208543A1 (en) * 2019-05-01 2022-06-30 Lam Research Corporation Modulated atomic layer deposition

Also Published As

Publication number Publication date
TW202229601A (zh) 2022-08-01
US20220068639A1 (en) 2022-03-03
KR20220027772A (ko) 2022-03-08

Similar Documents

Publication Publication Date Title
US10998187B2 (en) Selective deposition with atomic layer etch reset
KR102551503B1 (ko) 진보된 패터닝을 위한 소프트 랜딩 나노적층물들
CN111048400B (zh) 通过循环cvd形成保形碳化硅膜的方法
KR102335247B1 (ko) 다중 패터닝을 위해 ahm 갭 충진을 이용하는 이미지 전환
US10655221B2 (en) Method for depositing oxide film by thermal ALD and PEALD
US9627221B1 (en) Continuous process incorporating atomic layer etching
US9735024B2 (en) Method of atomic layer etching using functional group-containing fluorocarbon
KR20210072826A (ko) 에칭 챔버의 방향성 증착
US20230349043A1 (en) Method and system for forming metal silicon oxide and metal silicon oxynitride layers
CN114121650A (zh) 使用多重图案化过程形成图案化结构的方法和系统
US20230395372A1 (en) Method and system for forming patterned structures using multiple patterning process
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统
US20220319833A1 (en) Method and system for mitigating underlayer damage during formation of patterned structures
US20220068647A1 (en) Method and system for forming patterned features on a surface of a substrate
US20230235453A1 (en) Method and system for forming a silicon oxycarbide layer and structure formed using same
US20230070199A1 (en) Topology-selective deposition method and structure formed using same
US20230167544A1 (en) Method and system for forming a conformal silicon carbon nitride layer and structure formed using same
KR20240008788A (ko) 실리콘 질화물을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2024040123A (ja) マンドレル及びスペーサを形成するための方法、関連する構造及びシステム
CN117170177A (zh) 形成光致抗蚀剂底层的高温方法及形成其的系统
KR20220136149A (ko) 중간 처리 공정으로 실리콘 질화물을 증착하기 위한 방법 및 시스템

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination