TWI750151B - 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻 - Google Patents

使用電漿與蒸氣處理組合之al2o3的原子層蝕刻 Download PDF

Info

Publication number
TWI750151B
TWI750151B TW106106310A TW106106310A TWI750151B TW I750151 B TWI750151 B TW I750151B TW 106106310 A TW106106310 A TW 106106310A TW 106106310 A TW106106310 A TW 106106310A TW I750151 B TWI750151 B TW I750151B
Authority
TW
Taiwan
Prior art keywords
substrate
plasma
ale
exposing
chamber
Prior art date
Application number
TW106106310A
Other languages
English (en)
Other versions
TW201738954A (zh
Inventor
安德里斯 費雪
托爾斯滕 立爾
理查 兼內克
約翰 彭尼費斯
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201738954A publication Critical patent/TW201738954A/zh
Application granted granted Critical
Publication of TWI750151B publication Critical patent/TWI750151B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F1/00Etching metallic material by chemical means
    • C23F1/10Etching compositions
    • C23F1/12Gaseous compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23FNON-MECHANICAL REMOVAL OF METALLIC MATERIAL FROM SURFACE; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL; MULTI-STEP PROCESSES FOR SURFACE TREATMENT OF METALLIC MATERIAL INVOLVING AT LEAST ONE PROCESS PROVIDED FOR IN CLASS C23 AND AT LEAST ONE PROCESS COVERED BY SUBCLASS C21D OR C22F OR CLASS C25
    • C23F4/00Processes for removing metallic material from surfaces, not provided for in group C23F1/00 or C23F3/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0135Controlling etch progression
    • B81C2201/0142Processes for controlling etch progression not provided for in B81C2201/0136 - B81C2201/014
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本說明書提供在基板上執行原子層蝕刻(ALE, atomic layer etching)的方法,其包含下列方法操作:在該基板的表面上執行表面改質操作,該表面改質操作係安排以將該基板的表面之至少一單層轉換為經改質的層;在該基板的表面上執行移除操作,該移除操作係安排以自該基板的表面移除該經改質的層,其中經由配位基交換反應來進行移除該經改質的層之操作,該配位基交換反應係安排以使該經改質的層揮發;在該移除操作之後,在該基板的表面上執行電漿處理,該電漿處理係安排以自該基板的表面移除由該移除操作所產生的殘留物,其中藉由該電漿處理而使該殘留物揮發;重複該等前述操作直至已自該基板的表面蝕刻預定的厚度為止。

Description

使用電漿與蒸氣處理組合之AL2O3的原子層蝕刻
本揭露內容之實施例係關於原子層蝕刻(ALE,atomic layer etching),而更具體而言,係關於使用電漿與蒸氣處理組合之氧化鋁的ALE。
具有對均勻度及蝕刻速率的微調控制之在半導體基板上蝕刻材料的習知技術係為有限的。例如,反應性離子蝕刻在習知上係用以於半導體處理期間在半導體基板上蝕刻材料,並且,使用反應性離子蝕刻來蝕刻材料的蝕刻速率係藉由調整射頻電漿功率及選擇化學物而控制。然而,晶圓電漿鞘形成於基板的頂部,而因此來自該電漿的離子通常加速至晶圓表面上以蝕刻基板。此情況會造成非等向性之方向性的蝕刻製程,該蝕刻製程不會以相同的速率來蝕刻材料的垂直及水平表面。此外,經歷習知蝕刻製程的材料亦可能為非均勻的。使用習知技術通常涉及特定的反應器設計、及/或饋入氣體之輸送及排氣的改變,以及腔室或反應器壁部以及靜電卡盤兩者之謹慎的溫度分布監控,靜電卡盤可為能夠在處理期間固持晶圓的晶圓固持器之部分,以達到高蝕刻速率均勻性之控制,且其可能造成較低效率及較高花費的基板處理。
依據若干實施例,提供在基板上執行原子層蝕刻(ALE,atomic layer etching)的方法,其包含:(a)在該基板的表面上執行表面改質操作,該表面改質操作係安排以將該基板的表面之至少一單層轉換為經改質的層;(b)在該基板的表面上執行移除操作,該移除操作係安排以自該基板的表面移除該經改質的層,其中經由配位基交換反應來進行移除該經改質的層之操作,該配位基交換反應係安排以使該經改質的層揮發;(c)在該移除操作之後,在該基板的表面上執行電漿處理,該電漿處理係安排以自該基板的表面移除由該移除操作所產生的殘留物,其中藉由該電漿處理而使該殘留物揮發;(d)重複操作(a)至操作(c)直至已自該基板的表面蝕刻預定的厚度為止。
在若干實施例中,執行該表面改質操作包含將該基板的表面暴露於含氟電漿,其中暴露於該含氟電漿的該操作係安排以將該基板的表面之該至少一單層轉換為氟化物物種。
在若干實施例中,該基板的表面包含金屬、金屬氧化物、金屬氮化物、金屬磷化物、金屬硫化物、或金屬砷化物;其中暴露於該含氟電漿的該操作會形成金屬氟化物。
在若干實施例中,將該基板的表面暴露於該含氟電漿的該操作包含將含氟氣體引至放置該基板的腔室中,並引燃電漿。
在若干實施例中,在約10mTorr至500mTorr的腔室壓力下執行暴露於該含氟電漿的該操作達少於約15秒的持續時間。
在若干實施例中,執行該移除操作包含將該基板的表面暴露於乙醯丙酮錫(II)(Sn(acac)2)(金屬錯合物)蒸氣,暴露於該Sn(acac)2蒸氣的該操作係安排以用acac配位基交換該經改質的層中之氟原子。
在若干實施例中,將該基板的表面暴露於Sn(acac)2的該操作包含將Sn(acac)2以氣相引至放置該基板的腔室中。
在若干實施例中,執行暴露於Sn(acac)2的該操作達約1秒至30秒的持續時間。
在若干實施例中,執行該電漿處理包含將該基板的表面暴露於氫電漿,暴露於該氫電漿的該操作係安排以使該基板的表面上之錫、錫氟化物、或錫氧化物殘留物揮發。
在若干實施例中,將該基板的表面暴露於該氫電漿的該操作包含將氫氣引至放置該基板的腔室中,並引燃電漿。
在若干實施例中,執行暴露於該氫電漿的該操作達約1秒至30秒(通常約為5秒)的持續時間。
在若干實施例中,在第一腔室中執行操作(a);在第二腔室中執行操作(b)。
在若干實施例中,該第一腔室中執行操作(c)。
在若干實施例中,在第三腔室中執行操作(c)。
依據若干實施例,提供在基板上執行原子層蝕刻(ALE,atomic layer etching)的方法,其包含:(a)在該基板的表面上執行表面改質操作,該表面改質操作係安排以將該基板的表面之至少一單層轉換為經改質的層;(b)在該基板的表面上執行移除操作,該移除操作係安排以自該基板的表面移除該經改質的層,其中經由配位基交換反應來進行移除該經改質的層之操作,該配位基交換反應係安排以使該經改質的層揮發;(c)重複操作(a)及操作(b)達預定數目的循環;(d)在操作(c)之後,在該基板的表面上執行電漿處理,該電漿處理係安排以自該基板的表面移除由該移除操作所產生的殘留物,其中藉由該電漿處理而使該殘留物揮發;(e)重複操作(a)至操作(d)直至已自該基板的表面蝕刻預定的厚度為止。
在若干實施例中,執行該表面改質操作包含將該基板的表面暴露於含氟電漿,其中暴露於該含氟電漿的該操作係安排以將該基板的表面之該至少一單層轉換為氟化物物種;其中執行該移除操作包含將該基板的表面暴露於乙醯丙酮錫(II)(Sn(acac)2)蒸氣,暴露於該Sn(acac)2蒸氣的該操作係安排以用acac配位基交換該經改質的層中之氟原子;其中執行該電漿處理包含將該基板的表面暴露於氫電漿,暴露於該氫電漿的該操作係安排以使該基板的表面上之錫、錫氟化物、或錫氧化物殘留物揮發。
在若干實施例中,該基板的表面包含金屬、金屬氧化物、金屬氮化物、金屬磷化物、金屬硫化物、或金屬砷化物;其中暴露於該含氟電漿的該操作會形成金屬氟化物。
在若干實施例中,在約10mTorr至500mTorr的腔室壓力下執行暴露於該含氟電漿的該操作達少於約15秒的持續時間;其中執行暴露於Sn(acac)2的該操作達約1秒至30秒(通常約為1秒)的持續時間;其中執行暴露於該氫電漿的該操作達約1秒至30秒(通常約為5秒)的持續時間。
100:基板的表面
102:最外部層
104:表面轉變反應物
106:官能化之最外部層/經改質的表面/經轉變的表面物種
108:含配位基的反應物
110:經配位基取代的表面物種/最外部層的表面物種
201:操作
203:操作
205:操作
207:操作
301:操作
303:操作
305:操作
307:操作
401:第一腔室/第一站
403:第二腔室
405:第三站/第三腔室
501:操作
503:操作
505:操作
507:操作
509:操作
600:群集工具
601:站
603:站
605:站
701:腔室
703:噴淋頭或噴嘴
705:含氟氣體
707:氫氣
709:Sn(acac)2蒸氣
711:腔室壁
713:卡盤
715:待處理之基板或晶圓
717:射頻電源
719:射頻電源
721:線圈
723:系統控制器
800:控制模組
802:閥
804:過濾器加熱器
806:泵浦
808:其他裝置
810:壓力計
812:流量計
814:溫度感測器
816:其他感測器
818:顯示器
820:輸入裝置
圖1A-1F依據本揭露內容之實施例,概念上繪示ALE製程順序。
圖2依據本揭露內容之實施例,繪示ALE循環的方法。
圖3依據本揭露內容之實施例,繪示執行ALE之方法的製程流程圖。
圖4依據本揭露內容之實施例,概念上繪示具有用於執行ALE操作之複數腔室的設備。
圖5依據本揭露內容之實施例,繪示依據圖3之實施例的方法,但其中重複氟暴露及Sn(acac)2暴露直至已達到n數目的循環為止。
圖6依據本揭露內容之實施例,繪示群集工具600。
圖7依據本揭露內容之實施例,繪示用於處理ALE的範例腔室。
圖8依據本揭露內容之實施例,顯示用於控制上述系統的控制模組。
在以下敘述中,為提供對於所呈現實施例之完整瞭解,將提出許多具體細節。在不具有這些具體細節之若干或全部的情況下,仍可實施本揭露實施例。在其他情況下,為避免不必要地混淆本揭露實施例,因此不詳細描述眾所周知的程序操作。雖然本揭露實施例將結合具體實施例而描述,但應瞭解其並非意欲限制本揭露實施例。
本說明書中所提供的係為藉由涉及含氟電漿及含錫蝕刻劑之配位基交換機制而執行金屬氧化物(例如氧化鋁(Al2O3))之等向性原子層蝕刻(ALE,atomic layer etching)的方法。本說明書中所述之方法涉及使用含氟電漿改質待蝕刻之材料的表面,然後將經改質的表面暴露於乙醯丙酮錫(II)(Sn(acac)2)蒸氣以利用自限制方法移除材料。在具有Sn(acac)2蒸氣且無電漿的情況下於氣相沉積腔室中維持配位基交換反應。
原子層蝕刻(ALE,atomic layer etching)係為蝕刻作用之原子層級控制的一方法。ALE係為循環製程的一種類型。ALE係為使用相繼的自限制反應來移除材料之薄層的技術。一般而言,可使用任何合適的技術來執行ALE。原子層蝕刻技術之範例係描述於公告日為2014年11月11日的美國專利第8883028號、以及公告日為2014年8月19日的美國專利第8808561號中,該等案係為了說明例示性原子層蝕刻及蝕刻技術之目的而併入本說明書中以供參照。在許多實施例中,可利用電漿來執行ALE,或可在加熱的情況下執行ALE。
ALE可藉由表面改質操作(亦即,基板表面上藉由反應性化學物來進行的化學吸附)及後續的移除操作來進行。可將如此的操作重複一特定數目的循環。在ALE期間,反應性化學物及移除化學物係分別輸送至基板。
圖1A-1F依據本揭露內容之實施例,概念上繪示ALE製程順序。
圖1A處所顯示的係為未改質狀態的基板之表面100的部分。基板表面100之最外部層102的分子/原子係為了ALE製程而暴露。如圖1B處所顯示,執行表面轉變/改質操作以將基板的表面層轉變為官能化的狀態。例如,表面層係藉由暴露於表面轉變反應物104而改質,表面轉變反應物104可吸附或化學吸附於表面上。在許多實施例中,表面轉變反應物可包含分子或低能自由基,該表面轉變反應物會與表面層原子反應以達成表面轉變步驟。所產生的表面層顯示於圖1C處,該表面層係由官能化之最外部層106的分子所組成,以達成後續ALE步驟。由於反應為自限制的,僅有(或實質上僅有)最外部層的基板表面將會經歷轉變。在若干實施例中,此表面改質需使表面物種轉變為鹵化物。在若干實施例中,在自限制表面轉變之後,將腔室吹淨以移除任何反應副產物或過量的表面轉變反應物。
在表面轉變操作之後,接著如圖1D處所繪示,執行配位基交換反應/操作。在所繪示的實施例中,將基板之經改質的表面106暴露於含配位基的反應物108,其會達成配位基交換反應,其中含配位基的反應物會吸附於基板表面上,並將其配位基轉移至在稍早的表面改質/轉變操作期間所形成之經轉變的表面物種106。配位基會與經改質之表面層的分子/原子鍵結,而形成由圖1E處所示之經配位基取代的表面物種110所構成的反應產物,其可被釋出。
如圖1F處所示,脫附作用會驅使最外部層的表面物種110(配位基交換操作後的反應產物)自基板表面移除。在若干實施例中,可藉由施加熱能來 達成釋出作用,可在對含配位基的反應物暴露之步驟的同時施加該熱能,或可在獨立的步驟中施加該熱能。
「ALE循環」的概念與本說明書中許多實施例的討論有關。一般而言,ALE循環為用以執行一次蝕刻處理(例如蝕刻單層)的最小操作組。一循環的結果為將基板表面上的膜層其中至少若干蝕刻掉。通常,一ALE循環包含改質操作,以形成反應性層;接著為移除操作,以僅將此經改質的層移除或蝕刻掉。可藉由使用化學吸附機制、沉積機制、頂部層轉變機制、或萃取機制來執行改質。循環可包含某些輔助操作,例如清除反應物或副產物其中一者。一般而言,一循環包含一專有次序之操作的一實例。例如,圖2繪示ALE循環的方法,其包含下列操作:(i)輸送反應物氣體(操作201)、(ii)選用性地將反應物氣體自腔室吹淨(操作203)、(iii)輸送移除氣體及選用的電漿(操作205)、及(iv)選用性地吹淨腔室(操作207)。ALE進一步的說明及範例係描述於申請日為2015年4月24日,發明名稱為「INTEGRATING ATOMIC SCALE PROCESSES:ALD(ATOMIC LAYER DEPOSITION)AND ALE(ATOMIC LAYER ETCH)」的美國專利申請案第14696254號中,該申請案係為了說明原子層蝕刻製程之目的而併入本說明書中以供參照。
圖3中提供依據本揭露內容的實施例來執行之方法的製程流程圖。在操作301-307期間,可使惰性氣體(例如氬氣)在背景環境中持續流動作為載氣。
在操作301中,將包含待蝕刻之材料的基板暴露於含氟電漿以改質基板的表面。
可藉由引入含氟氣體並引燃電漿來產生含氟電漿。例如,在若干實施例中,含氟氣體可為四氟化碳(CF4)、三氟化氮(NF3)、六氟化硫(SF6)、氟(F2)、或任何含氟氣體。在許多實施例中,CF4可與O2一起引入以在電漿中產生大量氟 離子來蝕刻基板。在若干實施例中,流至腔室用以產生含氟電漿的氣體之總流量的約35%為O2氣體。包含碳的其他含氟氣體在與另外的氣體一起引入以抑制碳化物形成的情況下可用於若干實施例中。例如,其他含氟氣體可具有化學式CxHyFz,其中x可為大於或等於1的任何整數,y可為大於或等於0的任何整數,而z可為大於或等於1的任何整數。範例包含三氟甲烷(CHF3)及二氟甲烷(CH2F2)。在若干實施例中,可藉由使含氟液體汽化而產生含氟氣體。
在若干實施例中,未使基板圖案化。在許多實施例中,可使基板圖案化。基板可包含電晶體結構,其可包含額外的閘極層,例如阻障氧化物或蝕刻停止層。例如,基板可包含在FinFET電晶體之鰭片之上的氧化鋁層。在若干實施例中,基板可包含3D NAND結構,其中在形成於該結構中的溝槽底部具有金屬氧化物蝕刻停止層,如此一來金屬氧化物蝕刻停止層係為待蝕刻的材料。在許多實施例中,基板上的特徵部可具有約1.5:1與約5:1間的縱橫比。
操作301中的電漿可現地產生或可為遠端電漿。在許多實施例中,電漿係現地產生,以產生感應耦合電漿。
在許多實施例中,基板包含金屬氧化物、金屬氮化物、金屬磷化物、金屬硫化物、金屬砷化物、或待蝕刻的金屬層。範例包含氧化鋁(Al2O3)及氧化鉿。應注意,在許多實施例中,使用所揭露的實施例可能不會蝕刻含矽材料(例如:矽氧化物、矽氮化物、矽碳化物、矽等),此情況有助於達成蝕刻選擇性,尤其是當蝕刻例如FinFET電晶體結構上的鰭片之上的犧牲性閘極氧化物層之材料時。雖然應瞭解所揭露的實施例可用以蝕刻各種材料,但圖1將針對蝕刻氧化鋁來說明。
在許多實施例中,可在未施加偏壓的情況下執行操作301,以容許基板表面的等向改質。應注意,雖然所揭露的實施例可用以執行等向性蝕刻, 但使用所揭露的實施例藉由在操作301期間施加偏壓,亦可執行非等向性蝕刻製程。本說明書中針對圖1所說明的範例將針對等向性地蝕刻氧化鋁來說明。
在不局限於特定理論的情況下,在操作301期間,可以含氟電漿等向性地氟化金屬氧化物表面(例如氧化鋁表面)以改質氧化鋁之表面,以形成鋁氟化物(例如:AlF3)。可改質氧化鋁表面的一或數個單層以形成鋁氟化物。改質操作可能會受到擴散深度所限制。可在約10mTorr與約100mTorr間(例如約20mTorr)的腔室壓力下將基板暴露於含氟電漿達少於約15秒但多於0秒的持續時間。
應注意,在若干實施例中,在執行操作301後,可不吹淨容置基板的腔室。在若干實施例中,可吹淨基板。
在操作303中,將基板暴露於乙醯丙酮錫(II)(Sn(acac)2)蒸氣。在許多實施例中,在將蒸氣輸送至基板之前,可在外部汽化器中使Sn(acac)2汽化。
在不局限於特定理論的情況下,一般認為當經改質的AlF3表面暴露於Sn(acac)2蒸氣時會發生配位基交換反應,如此一來Sn(acac)2上的一個acac配位基會取代AlF3分子上的一個氟原子,而形成AlF2(acac)。額外的Sn(acac)2及/或Sn(acac)接著可再次與AlF2(acac)反應兩次,以用acac取代第二個及第三個氟原子,而產生Al(acac)3,其為揮發性的,且因此可自基板被蝕刻。由於配位基交換反應被推論為在AlF3頂部的單層(例如暴露於Sn(acac)2蒸氣的第一單層)中會具有較快的蝕刻速率,反應為自限制的,且某些錫、錫氟化物、錫氧化物、及Sn(acac)2可開始積聚於待蝕刻的材料之表面,因而阻斷進一步蝕刻任何經改質的AlF3下層。
在許多實施例中,可在相同腔室中執行操作301及303。在操作303中,關閉電漿,且可在開啟蒸氣流動之前關閉含氟氣體流動。於在操作303之前不吹淨腔室且無電漿的情況下,含氟氣體的存在可不影響蝕刻機制。相反地, 可單獨選擇含氟氣體,使該含氟氣體不會在氣體時與待蝕刻的材料反應,且亦不會與操作303中所使用的蒸氣反應。
在若干實施例中,可在相同設備的不同腔室中執行操作301及303。圖4依據本揭露內容之實施例,概念上繪示具有用於執行ALE操作之複數腔室的設備。在許多實施例中,基板可在用以於操作301中暴露於含氟電漿的第一腔室401與用於暴露於Sn(acac)2蒸氣的第二腔室403之間穿梭或移動。在若干實施例中,第二腔室403係為氣相沉積腔室。在若干實施例中,第二腔室403係為不包含電漿源的改質腔室。應注意,可在不破壞真空的情況下執行基板在腔室間的移動或穿梭。
在替代的實施例中,可將基板暴露於氣相的另一化學物,該化學物對金屬氟化物具選擇性,但不會與金屬氧化物反應。該化學物可包含與金屬氟化物反應時會產生揮發性化合物的一或更多配位基,其中該揮發性化合物包含鍵結至該配位基之金屬。
可執行操作303達約1秒的持續時間,其中固持晶圓的晶圓固持器或基座之溫度設定至約200℃的溫度。在許多實施例中,暴露於Sn(acac)2蒸氣之步驟結束時的腔室壓力可為約20mTorr。
在操作305中,可將基板暴露於電漿處理。在不局限於特定理論的情況下,一般認為操作305係執行以使積聚於基板之表面上的錫、錫氟化物、或錫氧化物(其可從執行操作303累積)揮發。將基板暴露於氫可形成錫水合物,該錫水合物在所選的基板溫度下為揮發性的,且該錫水合物接著可自處理腔室被泵抽出。可將基板暴露於電漿處理達多於0秒且少於5秒的持續時間。電漿暴露的持續時間可取決於表面上之錫的量。例如,在若干實施例中,可藉由估量放射光譜中的錫光譜線來測定錫的量。在若干實施例中,當放射光譜中的錫光譜線消失時可關閉電漿。在若干實施例中,將基板暴露於電漿達約5秒。在若干 實施例中,將基板暴露於電漿達大於約5秒的持續時間。在許多實施例中,電漿處理可包含引入氫氣並引燃電漿。可在與執行操作301及303相同的腔室中執行操作305。應注意,雖然執行操作305可為將基板暴露於氫電漿,但在若干實施例中,可使用不同的化學物來移除積聚於待蝕刻的材料之表面上的錫或錫氧化物。例如,在若干實施例中,可使用氨(NH3)電漿。
在若干實施例中,可在獨立的腔室中執行操作305。例如,在若干實施例中,可使基板移動或穿梭至執行操作301的第一站/腔室401,或可使基板移動或穿梭至第三站/腔室405以執行操作305。應注意,可在不破壞真空的情況下執行基板在腔室間的移動或穿梭。
在操作307中,判定所蝕刻的量是否足以達到所需的待蝕刻量。若尚未達到所需的剩餘厚度,則可選用性地重複操作301-305。應注意,在若干實施例中,僅在執行操作301及303每n循環才執行操作305,其中n為大於或等於1的整數。當n為1時,在每個循環中都執行操作305。在許多實施例中,在每個循環中都執行操作305。在另一範例中,執行操作301及303每2循環(當n為2)可執行操作305,如此一來可執行下列操作以蝕刻基板:(1)暴露於含氟電漿、(2)暴露於Sn(acac)2蒸氣、(3)暴露於含氟電漿、(4)暴露於Sn(acac)2蒸氣、(5)暴露於氫電漿、以及(6)重複(1)-(5)。
圖5依據圖3繪示一方法,但其中重複氟暴露(操作501)及Sn(acac)2暴露(操作503)直至已達成n數目的循環為止(操作505)。接著執行氫電漿暴露(操作507)。重複整個順序直至達成所需蝕刻量為止(操作509)。
所揭露的實施例會造成受到高度控制且具高程度均勻性的蝕刻方法。所揭露的實施例可用以執行各種材料的等向性蝕刻,且亦可被修改以藉由施加約20Vb與約80Vb間(例如約50Vb)的偏壓來執行非等向性蝕刻。
本說明書中所述的各種實施例可在電漿蝕刻腔室(例如可自加州費利蒙的Lam Research Corporation取得之Kiyo)中執行。在許多實施例中,可在不破壞真空的情況下使基板穿梭於蝕刻腔室與汽化腔室之間。
可在任何合適的腔室或設備(例如可自加州費利蒙的Lam Research Corporation取得之Kiyo®或Flex)中執行所揭露的實施例。在若干實施例中,可在包含一或更多站的群集工具中執行所揭露的實施例。圖6依據本揭露內容之實施例,繪示群集工具600。在許多實施例中,一個站601可包含用於蝕刻的模組,而另一站603包含用於汽化的模組(例如汽化腔室)。在若干實施例中,第三站605包含用於暴露於電漿的模組。
在若干實施例中,可使用感應耦合電漿(ICP,inductively coupled plasma)反應器。如此的ICP反應器已描述於申請日為2013年12月10日,發明名稱為「IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING」的美國專利申請公開案第20140170853號中,該申請案係為了說明適用於本說明書中所述技術之實施例的ICP反應器之目的而併入本說明書中以供參照。雖然本說明書中描述ICP反應器,但在若干實施例中,應瞭解,亦可使用電容耦合電漿反應器。參照圖7,範例蝕刻腔室或設備可包含腔室701(其具有用於將含氟氣體(705)、氫氣(707)、或Sn(acac)2蒸氣(709)或其他化學物分配至腔室701的噴淋頭或噴嘴703)、腔室壁711、卡盤713(其用於固持待處理之基板或晶圓715,且可包含用於夾持晶圓及解除夾持晶圓並可使用RF電源717來供電的靜電電極)、配置以將功率供應至線圈721以產生電漿的RF電源719、以及用於使如本說明書中所述之氣體進入的氣流入口。在許多實施例中,腔室壁711可為抗氟的。例如,腔室壁711可塗覆有含矽材料(例如矽或矽氧化物)或含碳材料(例如金剛石)或其組合,如此一來可使含氟氣體及/或電漿不蝕刻腔室壁711。可使用於化學吸附(例如用於產生含氟電漿的含氟氣體)及/或蒸氣暴露(例如Sn(acac)2)的改質化學物氣 體流至腔室701。在若干實施例中,可使氫氣707流至腔室以產生氫電漿,以移除錫或錫氧化物殘留物。在若干實施例中,加熱腔室壁以維持利用氫電漿清潔壁部的效率。在若干實施例中,設備可包含不只一個腔室,其中各腔室可用以蝕刻、沉積、或處理基板。腔室或設備可包含用於控制腔室或設備之操作其中若干或全部的系統控制器723,該等操作例如調整腔室壓力、惰性氣體流量、電漿功率、電漿頻率、反應性氣體(例如:含氟氣體、Sn(acac)2蒸氣等)流量、偏壓功率、溫度、真空設定、及其他製程條件。
圖8依據本揭露內容之實施例,顯示用於控制上述系統的控制模組800。例如,控制模組800可包含處理器、記憶體、及一或更多介面。部分基於感測值,控制模組800可用以控制系統中的裝置。僅作為舉例,基於感測值及其他控制參數,控制模組800可控制閥802、過濾器加熱器804、泵浦806、及其他裝置808其中一或更多者。僅作為舉例,控制模組800接收來自壓力計810、流量計812、溫度感測器814、及/或其他感測器816的感測值。控制模組800亦可用於控制反應物輸送及電漿處理期間的製程條件。控制模組800通常將包含一或更多記憶體裝置及一或更多處理器。
控制模組800可控制反應物輸送系統及電漿處理設備之作業。控制模組800執行包含用於控制下列各者之指令組的電腦程式:特定製程的製程時序、輸送系統溫度、橫跨過濾器之壓力差、閥位置、氣體混合物、腔室壓力、腔室溫度、晶圓溫度、RF功率位準、晶圓ESC或基座位置、及其他參數。控制模組800亦可監控壓力差,且自動將氣相反應物的輸送自一或更多路徑切換至一或更多其他路徑。在若干實施例中,可使用儲存於與控制模組800相關聯之記憶體裝置上的其他電腦程式。
通常,存在有與控制模組800相關聯的使用者介面。使用者介面可包含顯示器818(例如:顯示螢幕、及/或設備及/或製程條件的圖形軟體顯示器)、及使用者輸入裝置820(例如:指向裝置、鍵盤、觸控螢幕、麥克風等)。
可以任何習知電腦可讀程式設計語言撰寫用於控制製程序列中之反應物輸送、電漿處理及其他製程的電腦程式碼,其中該程式設計語言例如:組合語言、C、C++、Pascal、Fortran或其他。藉由處理器執行經編譯的目標碼或腳本以執行在程式中所識別之任務。
控制模組參數係關於製程條件,例如過濾器壓力差、製程氣體組成及流率、溫度、壓力、電漿條件(例如RF功率位準及低頻RF頻率)、冷卻氣體壓力、及腔室壁溫度。
可以許多不同的方式來設計或配置系統軟體。例如,可撰寫各種腔室元件子程序或控制目標,以控制對實行發明性蝕刻製程而言所必要之腔室元件的操作。用於此目的之程式或程式片段的範例包含基板定位碼、製程氣體控制碼、壓力控制碼、加熱器控制碼、及電漿控制碼。
儘管已為了清楚理解之目的而詳加敘述前述實施例,但顯而易見的,在所揭露之實施例的範圍內,可實施某些變更及修改。應注意,實施本實施例之製程、系統、及設備有許多替代方式。因此,應將本發明實施例視為說明性的,而非限制性的,且不將該等實施例限於本說明書中所提出的細節。
301‧‧‧操作
303‧‧‧操作
305‧‧‧操作
307‧‧‧操作

Claims (20)

  1. 一種在基板上執行原子層蝕刻(ALE,atomic layer etching)的方法,其包含:(a)在該基板的表面上執行表面改質操作,該表面改質操作係安排以將該基板的表面之至少一單層轉換為經改質的層;(b)在該基板的表面上執行移除操作,該移除操作係安排以自該基板的表面移除該經改質的層,其中移除該經改質的層之操作包含使該基板的表面暴露於金屬錯合物,俾使配位基交換反應在該金屬錯合物與該經改質的層之經轉換物種之間進行;(c)在該移除操作之後,在該基板的表面上執行電漿處理,該電漿處理係安排以移除因該基板的表面暴露於該金屬錯合物而形成的殘留物,其中藉由該電漿處理而使該殘留物揮發;(d)重複操作(a)至操作(c)直至已自該基板的表面蝕刻預定的厚度為止。
  2. 如申請專利範圍第1項之在基板上執行ALE的方法,其中該基板的表面包含金屬、金屬氧化物、金屬氮化物、金屬磷化物、金屬硫化物、或金屬砷化物。
  3. 如申請專利範圍第1項之在基板上執行ALE的方法,其中執行該表面改質操作包含將該基板的表面暴露於含鹵電漿,其中暴露於該含鹵電漿的該操作係安排以將該基板的表面之該至少一單層轉換為鹵化物物種。
  4. 如申請專利範圍第3項之在基板上執行ALE的方法,其中將該基板的表面暴露於該含鹵電漿的該操作包含將含鹵氣體引至放置該基板的腔室中,並引燃電漿。
  5. 如申請專利範圍第3項之在基板上執行ALE的方法,其中將該基板的表面暴露於該含鹵電漿的該操作包含自遠端電漿源接收該含鹵電漿。
  6. 如申請專利範圍第1項之在基板上執行ALE的方法,其中執行該移除操作包含將該基板的表面暴露於乙醯丙酮錫(II)(Sn(acac)2)蒸氣,暴露於該Sn(acac)2蒸氣的該操作係安排以用acac配位基交換該經改質的層中之原子。
  7. 如申請專利範圍第1項之在基板上執行ALE的方法,其中執行該電漿處理包含將該基板的表面暴露於氫電漿。
  8. 如申請專利範圍第1項之在基板上執行ALE的方法,其中將該基板的表面暴露於電漿的該操作包含將氫氣引至放置該基板的腔室中,並引燃電漿。
  9. 如申請專利範圍第7項之在基板上執行ALE的方法,其中將該基板的表面暴露於該氫電漿的該操作包含自遠端電漿源接收該氫電漿。
  10. 如申請專利範圍第1項之在基板上執行ALE的方法,其中在第一腔室中執行操作(a);其中在第二腔室中執行操作(b)。
  11. 一種在基板上執行原子層蝕刻(ALE,atomic layer etching)的方法,其包含:(a)在該基板的表面上執行表面改質操作,該表面改質操作係安排以將該基板的表面之至少一單層轉換為經改質的層;(b)在該基板的表面上執行移除操作,該移除操作係安排以自該基板的表面移除該經改質的層,其中移除該經改質的層之操作包含使該基板的表面暴露於金屬錯合物,俾使配位基交換反應在該金屬錯合物與該經改質的層之經轉換物種之間進行;(c)重複操作(a)及操作(b)達預定數目的循環;(d)在操作(c)之後,在該基板的表面上執行電漿處理,該電漿處理係安排以移除因該基板的表面暴露於該金屬錯合物而形成的殘留物,其中藉由該電漿處理而使該殘留物揮發; (e)重複操作(a)至操作(d)直至已自該基板的表面蝕刻預定的厚度為止。
  12. 如申請專利範圍第11項之在基板上執行ALE的方法,其中該基板的表面包含金屬、金屬氧化物、金屬氮化物、金屬磷化物、金屬硫化物、或金屬砷化物。
  13. 如申請專利範圍第11項之在基板上執行ALE的方法,其中執行該表面改質操作包含將該基板的表面暴露於含鹵電漿,其中暴露於該含鹵電漿的該操作係安排以將該基板的表面之該至少一單層轉換為鹵化物物種。
  14. 如申請專利範圍第13項之在基板上執行ALE的方法,其中將該基板的表面暴露於該含鹵電漿的該操作包含將含鹵氣體引至放置該基板的腔室中,並引燃電漿。
  15. 如申請專利範圍第13項之在基板上執行ALE的方法,其中將該基板的表面暴露於該含鹵電漿的該操作包含自遠端電漿源接收該含鹵電漿。
  16. 如申請專利範圍第11項之在基板上執行ALE的方法,其中執行該移除操作包含將該基板的表面暴露於乙醯丙酮錫(II)(Sn(acac)2)蒸氣,暴露於該Sn(acac)2蒸氣的該操作係安排以用acac配位基交換該經改質的層中之原子。
  17. 如申請專利範圍第11項之在基板上執行ALE的方法,其中執行該電漿處理包含將該基板的表面暴露於氫電漿。
  18. 如申請專利範圍第11項之在基板上執行ALE的方法,其中將該基板的表面暴露於電漿的該操作包含將氫氣引至放置該基板的腔室中,並引燃電漿。
  19. 如申請專利範圍第17項之在基板上執行ALE的方法,其中將該基板的表面暴露於該氫電漿的該操作包含自遠端電漿源接收該氫電漿。
  20. 如申請專利範圍第11項之在基板上執行ALE的方法,其中在第一腔室中執行操作(a); 其中在第二腔室中執行操作(b)。
TW106106310A 2016-03-01 2017-02-24 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻 TWI750151B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662302003P 2016-03-01 2016-03-01
US62/302,003 2016-03-01
US201662438978P 2016-12-23 2016-12-23
US62/438,978 2016-12-23
US15/435,838 2017-02-17
US15/435,838 US10256108B2 (en) 2016-03-01 2017-02-17 Atomic layer etching of AL2O3 using a combination of plasma and vapor treatments

Publications (2)

Publication Number Publication Date
TW201738954A TW201738954A (zh) 2017-11-01
TWI750151B true TWI750151B (zh) 2021-12-21

Family

ID=59723668

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106106310A TWI750151B (zh) 2016-03-01 2017-02-24 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻

Country Status (5)

Country Link
US (2) US10256108B2 (zh)
JP (1) JP6853065B2 (zh)
KR (1) KR20170102429A (zh)
CN (2) CN107146755B (zh)
TW (1) TWI750151B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10982336B2 (en) * 2016-04-01 2021-04-20 Wayne State University Method for etching a metal surface
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
TWI757545B (zh) * 2017-09-15 2022-03-11 日商關東電化工業股份有限公司 使用酸鹵化物之原子層蝕刻
US10354887B2 (en) * 2017-09-27 2019-07-16 Lam Research Corporation Atomic layer etching of metal oxide
KR102440504B1 (ko) * 2017-10-27 2022-09-06 현대자동차주식회사 이종 재질 접합을 위한 알루미늄 표면 처리 방법
US20190131130A1 (en) * 2017-10-31 2019-05-02 Lam Research Corporation Etching metal oxide substrates using ale and selective deposition
KR102016927B1 (ko) 2017-11-01 2019-10-21 한국기초과학지원연구원 원자층 연마 방법 및 이를 위한 연마 장치
US10529543B2 (en) 2017-11-15 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Etch process with rotatable shower head
WO2019118684A1 (en) 2017-12-14 2019-06-20 Applied Materials, Inc. Methods of etching metal oxides with less etch residue
JP6679642B2 (ja) * 2018-03-27 2020-04-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置およびプログラム
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
US10770305B2 (en) * 2018-05-11 2020-09-08 Tokyo Electron Limited Method of atomic layer etching of oxide
WO2019226341A1 (en) 2018-05-25 2019-11-28 Lam Research Corporation Thermal atomic layer etch with rapid temperature cycling
CN112335016A (zh) * 2018-06-13 2021-02-05 朗姆研究公司 高深宽比结构的有效率的清洁和蚀刻
CN112424914A (zh) 2018-07-09 2021-02-26 朗姆研究公司 电子激励原子层蚀刻
US10840082B2 (en) 2018-08-09 2020-11-17 Lam Research Corporation Method to clean SnO2 film from chamber
JP7202230B2 (ja) 2019-03-20 2023-01-11 株式会社Screenホールディングス 基板処理方法および基板処理装置
CN110690134B (zh) * 2019-09-12 2022-07-01 长江存储科技有限责任公司 多站式沉积工艺的串气检测方法、设备及可读存储介质
KR20210078264A (ko) * 2019-12-18 2021-06-28 주식회사 원익아이피에스 기판 처리 방법
US11430893B2 (en) * 2020-07-10 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
JP7174016B2 (ja) * 2020-07-16 2022-11-17 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、基板処理装置、およびプログラム
US11282711B2 (en) * 2020-07-31 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Plasma-assisted etching of metal oxides
JP2022104085A (ja) * 2020-12-28 2022-07-08 株式会社Screenホールディングス 配線形成方法および基板処理装置
JP2024506456A (ja) * 2021-02-03 2024-02-14 ラム リサーチ コーポレーション 原子層エッチングにおけるエッチング選択性の制御
US20230274949A1 (en) * 2021-03-18 2023-08-31 Lam Research Corporation Etching of indium gallium zinc oxide
KR102646730B1 (ko) * 2021-10-06 2024-03-12 세메스 주식회사 원자층 식각 방법
JP2024046509A (ja) * 2022-09-22 2024-04-03 株式会社Kokusai Electric 基板処理方法、半導体装置の製造方法、プログラム、及び基板処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201500584A (zh) * 2013-03-13 2015-01-01 Applied Materials Inc 蝕刻包含過渡金屬的膜之方法
TW201521096A (zh) * 2013-07-30 2015-06-01 Lam Res Corp 用於接點與通孔之原子層清洗的方法及設備

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5389196A (en) 1992-01-30 1995-02-14 Massachusetts Institute Of Technology Methods for fabricating three-dimensional micro structures
US5368687A (en) 1993-03-15 1994-11-29 Micron Technology, Inc. Semiconductor processing method of etching insulating inorganic metal oxide materials and method of cleaning metals from the surface of semiconductor wafers
US5705443A (en) 1995-05-30 1998-01-06 Advanced Technology Materials, Inc. Etching method for refractory materials
US7357138B2 (en) 2002-07-18 2008-04-15 Air Products And Chemicals, Inc. Method for etching high dielectric constant materials and for cleaning deposition chambers for high dielectric constant materials
JP2006501651A (ja) 2002-09-27 2006-01-12 東京エレクトロン株式会社 High−k誘電材料をエッチングするための方法及びシステム
WO2005078083A1 (en) 2004-02-04 2005-08-25 California Institute Of Technology Ultra-smooth microfabricated pores on a planar substrate for integrated patch-clamping
US7585772B2 (en) 2006-07-26 2009-09-08 Freiberger Compound Materials Gmbh Process for smoothening III-N substrates
US8058179B1 (en) * 2008-12-23 2011-11-15 Novellus Systems, Inc. Atomic layer removal process with higher etch amount
US20110139748A1 (en) * 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US9257638B2 (en) * 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
KR102510737B1 (ko) * 2015-03-30 2023-03-15 도쿄엘렉트론가부시키가이샤 원자층 에칭 방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201500584A (zh) * 2013-03-13 2015-01-01 Applied Materials Inc 蝕刻包含過渡金屬的膜之方法
TW201521096A (zh) * 2013-07-30 2015-06-01 Lam Res Corp 用於接點與通孔之原子層清洗的方法及設備

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Atomic Layer Etching of Al2O3 Using Sequential, Self-Limiting Thermal Reactions with Sn(acac)2 and Hydrogen Fluoride *

Also Published As

Publication number Publication date
CN113013032A (zh) 2021-06-22
US10784118B2 (en) 2020-09-22
CN107146755A (zh) 2017-09-08
CN107146755B (zh) 2021-02-12
TW201738954A (zh) 2017-11-01
US10256108B2 (en) 2019-04-09
US20170256416A1 (en) 2017-09-07
KR20170102429A (ko) 2017-09-11
JP2017157836A (ja) 2017-09-07
JP6853065B2 (ja) 2021-03-31
US20190198345A1 (en) 2019-06-27

Similar Documents

Publication Publication Date Title
TWI750151B (zh) 使用電漿與蒸氣處理組合之al2o3的原子層蝕刻
US10559475B2 (en) Control of directionality in atomic layer etching
TWI828619B (zh) 半導體裝置製造中之氧化錫膜
TWI791059B (zh) 使用原子層蝕刻法蝕刻金屬氧化物基板、以及選擇性沉積
US10998187B2 (en) Selective deposition with atomic layer etch reset
TWI726989B (zh) 蝕刻基板的材料之方法及設備
TWI763759B (zh) 受暴露以供處理之基板的表面之原子層蝕刻之執行方法及系統
TW202245054A (zh) 設計者原子層蝕刻
CN113891954A (zh) 通过高功率脉冲低频率rf产生的高选择性、低应力、且低氢的类金刚石碳硬掩模
JP7396998B2 (ja) 炭素膜の原子層堆積
CN110337709B (zh) 原子层蚀刻中方向性的控制
CN115198246A (zh) 用于形成包括氮化硅的图案化结构的方法和系统
CN115720596A (zh) 具有宽间隙电极间距的低压条件下的高选择性、低应力和低氢碳硬掩模