TWI726989B - 蝕刻基板的材料之方法及設備 - Google Patents

蝕刻基板的材料之方法及設備 Download PDF

Info

Publication number
TWI726989B
TWI726989B TW106103603A TW106103603A TWI726989B TW I726989 B TWI726989 B TW I726989B TW 106103603 A TW106103603 A TW 106103603A TW 106103603 A TW106103603 A TW 106103603A TW I726989 B TWI726989 B TW I726989B
Authority
TW
Taiwan
Prior art keywords
substrate
etching
plasma
patent application
etched
Prior art date
Application number
TW106103603A
Other languages
English (en)
Other versions
TW201739951A (zh
Inventor
譚忠魁
張依婷
垠 吳
晴 徐
謙 符
山口葉子
崔麟
Original Assignee
美商蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商蘭姆研究公司 filed Critical 美商蘭姆研究公司
Publication of TW201739951A publication Critical patent/TW201739951A/zh
Application granted granted Critical
Publication of TWI726989B publication Critical patent/TWI726989B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

基於移除能量臨界值而使用自限性反應蝕刻基板之方法及設備係與連續電漿之流動有關,該等移除能量臨界值係藉由對欲蝕刻之材料及用以蝕刻材料的化學品進行評估而判定。處理條件使得受控的、自限性的非等向性蝕刻得以進行而無須在用以蝕刻基板上之材料的化學品之間進行交替。控制良好的蝕刻前沿(etch front)使得反應性自由基及惰性離子之協同效果得以執行蝕刻,俾使材料係於基板被反應性自由基改質並被惰性離子移除時受到蝕刻,但是於材料被改質但沒有惰性離子存在時、或於惰性離子存在但材料沒有被反應性自由基改質時材料不受到蝕刻。

Description

蝕刻基板的材料之方法及設備
本發明係關於基板的電漿蝕刻。
原子尺度上的電漿蝕刻已進行研究了許多年。習知的電漿刻蝕處理經常係藉由使用反應性離子及反應性化學品而在高蝕刻率下進行,但由於電漿的反應性,蝕刻處理經常對欲蝕刻材料下方的層造成不想要的蝕刻。
本文中提供了基於移除能量臨界值而使用自限性反應蝕刻基板之方法及設備,該等移除能量臨界值係藉由對欲蝕刻之材料及用以蝕刻材料的化學品進行評估而判定。本發明實施例係關於在容許受控、自限性的非等向性蝕刻而無須在用以蝕刻基板上材料的化學品之間進行交替的處理條件下之連續電漿的流動。根據所揭露之實施例,控制良好的蝕刻前沿(etch front)使得反應性自由基及惰性離子之協同效果得以執行蝕刻,俾使材料係於基板被反應性自由基改質並被惰性離子移除時受到蝕刻,但是於材料被改質但沒有惰性離子存在時、或於惰性離子存在但材料沒有被反應性自由基改質時不受到蝕刻。
在一實施態樣中,本揭露內容係關於刻蝕基板的材料之方法。該方法包含使一處理腔室中的一基板暴露於由一反應性物種所產生之電漿及由一惰性離子氣體所產生之電漿,以藉由使用自限性反應而移除該基板上暴露的一材料,其中用以使用該惰性離子氣體移除被該反應性物種加以改質之該材料的層之能量臨界值係小於用以使用該惰性離子氣體濺射該基板上的該材料之能量臨界值。根據各種的實施例,該基板對該反應性物種之暴露將該基板之暴露的該材料改質,且電漿所產生的惰性離子移除改質的該材料,從而蝕刻該材料。根據各種的實施例,在該基板暴露於該反應性物種及惰性離子之期間,電漿係連續地輸送,使得來源源功率及偏壓功率在蝕刻期間皆為連續地開啟。此外,根據各種的實施例,在蝕刻期間出現於該處理腔室中的該惰性離子氣體之濃度係大於該腔室中所有化學物種的99%,而該反應性物種之濃度係小於約1%。
在另一實施態樣中,本揭露內容提供蝕刻基板的材料之設備,該設備具有一控制器,其可影響對本文中所述之設備的處理腔室中之基板的材料進行蝕刻的方法。
這些及其他實施態樣係參照圖式進一步描述於下。
201:底層
205:目標材料層
207:遮罩
207a:側壁
215:蝕刻目標層
215a:側壁
301:操作
303:操作
400:設備
401:腔室壁
402:上子腔室
403:下子腔室
411:窗
417:卡盤
419:晶圓
421:匹配電路
422:埠
423:RF電源供應器
424:處理腔室
425:連線
427:連線
430:系統控制器
433:線圈
439:匹配電路
440:泵浦
441:RF電源供應器
443:連線
445:連線
449:法拉第屏蔽
450:格柵
460:氣體流入口
470:氣體流入口
520a:處理模組
520b:處理模組
520c:處理模組
520d:處理模組
522:機器人
524:末端執行器
526:晶圓
528:模組中心
530:氣匣
532:前端機器人
534:前開式晶圓傳送盒
536:面部
538:真空傳送模組
540:大氣傳送模組
542:裝載埠模組
544:對準器
根據此揭露內容,圖1顯示一能量圖,該能量圖描繪了與原子層蝕刻之討論相關的能量臨界值。
圖2A-B為經歷某些揭露實施例之操作的基板之示意圖。
圖3為一處理流程圖,該處理流程圖描繪了根據某些揭露實施例而執行的操作。
圖4為用以執行某些揭露實施例的範例性處理蝕刻腔室之示意圖。
圖5為用以執行某些揭露實施例的範例性處理設備的示意圖。
根據此揭露內容,圖6顯示了與原子層蝕刻之討論相關的各種惰性氣體之離子密度及壓力的參考數據之曲線圖。
在以下描述中,提出許多的特定細節以對所提出之實施例提供周密的了解。揭露之實施例可被實行而無須部分或全部的特定細節。在其他情況下,為了不對揭露實施例的造成不必要地混淆,眾所周知的處理操作則沒有被詳述。雖然所揭露之實施例係配合特定的實施例來描述,但應了解這並非意圖對揭露之實施例進行限制。
原子層蝕刻(ALE)為用於蝕刻行為之原子尺度控制的手段。ALE係藉由使用自限性的序列反應步驟而移除材料之薄層的技術。這可藉由表面改質操作(換言之,藉由在基板表面上之反應性化學品的自由基反應而進行的化學吸附作用)、及隨後的移除操作(換言之,使用惰性、非反應性離子的離子輔助蝕刻)而完成。這樣的操作可重複特定數目的循環。在ALE期間,反應性化學品及惰性離子係分開地輸送至基板。
對至少一些蝕刻應用方式而言,ALE較傳統電漿刻蝕具有許多的優點。例如,ALE可適用於執行非等向性蝕刻,且可產生較佳的通節距負載(through-pitch loading)及深寬比相依性蝕刻。ALE亦通常對欲蝕刻之材料造成較少損傷,且對覆蓋在欲蝕刻材料上的遮罩材料產生較佳的選擇性。
然而,由於每一ALE循環中僅蝕刻非常薄的層,且每一循環係依靠一飽和曲線等待時間以使改質化學品有足夠的時間以使欲蝕刻的材料表面實質上飽和,所以ALE通常較習知電漿蝕刻更慢。在移除操作處理期間亦使用飽和狀態,從而導致了延長的處理時間。另外,表面改質操作中所使用的化學品不同於移除操作中所使用的化學品,而這在以改質化學品與移除化學品之交替脈衝執行ALE時,增加了用以在進入腔室的化學品流動之間進行切換的時間。吾人亦經常於每一循環期間在脈衝之間對化學品進行掃氣,以確保每一循環中係執行自限性反應。較慢的蝕刻率及在一循環內進行多次氣體改變所導致的處理控制困難度可限制了半導體製造中的ALE使用。ALE亦經常藉由使用較簡單的化學品來執行,以減少化學品積聚在欲蝕刻之基板上的可能性。例如,由於較複雜的分子可能積聚在欲蝕刻的材料表面上且不會完全使表面飽和而執行自限性蝕刻處理,這樣的分子可能並不適合與ALE一起使用。
所揭露之蝕刻基板的方法係使用基於移除能量臨界值的自限性反應,該等移除能量臨界值係藉由對欲蝕刻材料及用以蝕刻材料的化學品進行評估而判定。本發明實施例係關於在容許受控、自限性的非等向性蝕刻而無須在用以蝕刻基板上材料的化學品之間進行交替的處理條件下之連續電漿的流動。
藉由使用連續電漿而執行的所揭露實施例結合了ALE與一般連續電漿蝕刻二者的優點。所揭露實施例中產生的電漿包含反應性物種(例如,自由基或分子)及惰性離子做為蝕刻劑,同時維持低的反應性離子密度以使反應性離子的任何蝕刻效果為可忽略的。如本文中所描述,惰性的離子係意指像氦氣或氬氣這樣的氣體之離子,其具有足夠的能量來移除基板表面上的改質材料,但沒有足夠的反應性來蝕刻未改質材料本身。此 外,反應性離子密度係意指係像氧或氯離子這樣的離子之密度,該等離子為反應性的以使它們可蝕刻在基板上之材料。相較而言,本文中所述之反應性物種、反應性自由基、或反應性化學品可意指用以與基板表面上之材料進行反應以將基板表面改質的不帶電電漿物種。
在一些實施例中,腔室中存在的惰性氣體之濃度可大於腔室中所有化學物種的約99%,而反應性物種(例如,改質化學品)之濃度可小於約1%。在一些實施例中,高壓電漿即使在反應性物種低濃度之情況下仍產生足夠的反應性物種之自由基密度以將基板改質,並同時將在基板處之反應性離子維持在極低的濃度(由於在高壓下的較短平均自由路徑及低濃度),從而維持了高化學吸附率及較ALE更快的蝕刻率。
在各種的實施例中,可在蝕刻處理期間施加低偏壓功率(例如,約50Vb)。在一些實施例中,偏壓功率係加以脈衝化(例如,在0Vb與約50Vb之間)。吾人應理解,在本文中術語「偏壓功率」及「偏壓電壓」係可互換使用來描述當施加偏壓至基座時對基座加以設定的電壓。臨界偏壓功率或臨界偏壓電壓係意指對基座上的基板之表面上的材料進行濺射之前施加至基座的偏壓之最大電壓。因此,該臨界偏壓功率部分取決於欲蝕刻之材料、用以產生電漿的氣體、用以點燃電漿的電漿功率、及電漿頻率。本文中所述的偏壓功率或偏壓電壓係以伏特來測量,而伏特係以單位「V」或「Vb」來表示,其中b係意指偏壓。
根據所揭露之實施例,控制良好的蝕刻前沿(etch front)使得反應性自由基及惰性離子之協同效果得以執行蝕刻,俾使材料係於基板被反應性自由基改質並被惰性離子移除時受到蝕刻,但是於材料被改質但沒有惰性離子存在時、或於惰性離子存在但材料沒有被反應性自由基改質時不受到蝕刻。所揭露之實施例降低了離子及電漿對基板的損傷,同時維持 了高蝕刻選擇性及平滑的蝕刻輪廓。在各種的實施例中,由於藉由改變腔室壓力、惰性離子的濃度、反應性物種的濃度、電漿功率、電漿頻率、溫度、及暴露時間來控制處理條件而使得橫向蝕刻或底切(undercutting)很少或不存在,欲蝕刻之特徵的側壁可能不需要加以鈍化。由於對處理條件進行控制以維持在基板表面上的自限性反應,所揭露之實施例亦降低了負載效應或微負載。雖然可能存在一些負載效應(由於處理係與連續蝕刻及各種尺寸之特徵中的反應性物種與惰性離子之擴散率有關),但可藉由使偏壓產生脈衝及控制暴露的持續時間而平衡在較大與較小臨界尺寸的特徵之間的蝕刻率以控制蝕刻處理。
根據此揭露內容,圖1顯示一能量圖,該能量圖描繪了與原子層蝕刻之討論相關的能量臨界值E1、E2、及E3。用以執行所揭露之實施例的蝕刻化學品及處理條件係基於三個能量臨界值而加以選擇:(1)E1,從材料表面移除一改質的材料所需之能量臨界值;(2)E2,惰性離子具有足夠能量來轟擊或濺射至欲蝕刻材料(或欲蝕刻材料下方的材料)之表面上並從而導致基板上之材料的物理性移除的能量臨界值;及可選性的(3)E3,惰性離子具有足夠能量來轟擊或濺射至目標層上方之遮罩層表面上並藉此導致遮罩材料的物理性移除的能量臨界值。圖1為一能量圖,該能量圖描繪了所揭露實施例能夠執行而利用自限性蝕刻的優點並同時降低對基板的損傷且維持蝕刻選擇性的區域(在E1與E2之間)。
處理條件及蝕刻化學品係加以選擇,使得E1小於E2,且若欲蝕刻材料係在圖案化遮罩(相對於欲蝕刻之材料為一毯覆層之情況)下,則處理條件及蝕刻化學品亦係加以選擇,使得E1小於E2及E3兩者。這樣的能量臨界值係選擇來確保惰性離子具有足夠的能量將改質的材料從表面移除(能量必須大於E1)但惰性離子卻不會濺射欲蝕刻材料之表面(能量必須小 於E2),此外,在進行蝕刻以將基板圖案化之情況下,惰性離子不會濺射遮罩或對遮罩造成損傷(能量必須小於E3)。
所揭露的實施例亦可應用於許多不同及複雜的蝕刻化學品(若使用所選擇的化學成分沒有自發的蝕刻且上述能量臨界值係加以維持)。
在一些實施例中,使用相對較高壓力的電漿。腔室的壓力可為在約30毫托與約1000毫托之間,例如約100至500毫托或約200至300毫托。此高壓電漿包含高濃度的惰性物種(例如,He、Ne、Ar、Kr、Xe、或其組合)及低濃度的反應性物種(例如,含F、含Cl、含Br、含O之物種)。在標準電漿條件下,惰性氣體一般產生較其它分子氣體更多的離子。另外,高濃度的惰性物種可確保大多數的離子(例如,>99%)為非反應性的,且反應性離子之密度為可忽略的。
雖然在高壓電漿中,但來自反應性物種的自由基或化學反應性分子仍然足夠在目標材料上進行充足的化學吸附。化學品係選擇為在表面上進行的化學吸附,但不具有足夠的反應性在沒有離子輔助的情況下進行自發蝕刻。離子能量(惰性物種的離子能量)係設定在一值,該值對於在化學反應性物種的吸附之後將該表面活化而言係足夠高的,但對於物理濺射而言係不足的(類似於循環ALE的離子能量)。電漿係連續地輸送,使得來源功率及偏壓功率皆為連續地開啟。由於蝕刻係於惰性離子遇到在相同的位置之化學吸附、改質的層時發生,所以蝕刻為自限性的。處理條件係加以選擇,使得惰性離子或在表面上的化學吸附改質材料單獨不足以蝕刻材料。在各種的實施例中,蝕刻係非等向性地執行。非等向性蝕刻可因以偏壓輸送至基板的惰性離子之方向性而得以達成。在各種的實施例中,蝕刻亦為選擇性的。
圖2A-B提供了一基板的範例性示意圖,該基板具有經歷根據所揭露實施例之各種操作的特徵部。圖2顯示了一基板,該基板包含底層201、及利用上覆之遮罩207進行蝕刻之目標材料層205。流動連續電漿,使得基板暴露於選擇用以將目標材料改質的反應性物種之電漿及用以在自限性反應中移除改質材料的惰性離子之電漿。用以使用惰性離子移除被反應性物種加以改質之材料層的能量臨界值係小於用以使用惰性離子濺射基板上之材料的能量臨界值。用以使用惰性離子移除被反應性物種加以改質之材料層的能量臨界值亦小於惰性離子具有足夠的能量轟擊或濺射至在目標層上的遮罩層之表面上並從而導致遮罩材料之物理性移除的能量臨界值。
良好控制的蝕刻前沿使得反應性自由基及惰性離子的協同效果得以執行蝕刻,俾使材料係於基板被反應性自由改質並被惰性離子移除時受到蝕刻,但於材料被反應性自由改質但沒有惰性離子存在時或惰性離子存在但材料不被反應性自由基改質時不受到蝕刻。該處理容許受控、自限性的非等向性蝕刻而無須在用以蝕刻基板上之材料的化學品之間進行交替。所產生之基板係繪示在圖2B中。須注意,遮罩207保持了良好的輪廓而沒有遮罩損失,使得遮罩207的側壁207a仍然為垂直的。此外,蝕刻目標層215具有實質上垂直的側壁215a。
本文中所述的處理可包含以下所述之操作,該等操作係繪示在圖3的處理流程圖中。在操作(301)中,可使反應性物種化學吸附至基板上欲蝕刻之材料的表面。取決於欲蝕刻之材料的類型,該反應性物種可構成反應性自由基或藉由電漿產生的或其它化學品。欲使用所揭露實施例而蝕刻的材料類型包含了含碳材料、含矽材料、及含金屬材料。可使用所揭露之實施例而蝕刻的含碳材料之範例為非晶碳。可使用所揭露之實施例 而蝕刻的含矽材料之範例包含了矽、多晶矽、矽鍺、氧矽化物、矽碳化物、矽氮化物、摻雜矽碳化物、摻雜矽、及其組合。可使用所揭露之實施例而蝕刻的含金屬材料之範例包含了元素金屬(例如鎢及鈦)、金屬氧化物(例如鈦氧化物)、及金屬氮化物。
例如,對於蝕刻含碳材料而言,反應性物種可包含了含氧電漿、含氟電漿、含氯電漿、含溴電漿、或其組合。範例包含了Cl2及HBr。舉例而言,在一些實施例中,基於碳的材料可藉由使用所揭露之實施例而在小於約50℃(例如約20℃)的溫度進行蝕刻。
對於蝕刻主要為矽的材料(例如,矽鍺或多晶矽)而言,反應性物種可包含了含氟電漿、含氯電漿、含溴電漿、或其組合。範例包含了Cl2及HBr。對於蝕刻矽氧化物而言,反應性物種可包含氟碳化合物(例如CxFy,其中x及y為整數,或CxHyFz,其中x、y、及z為依據欲蝕刻之材料而選擇的整數)。例如,在一些實施例中,基於矽的材料可藉由使用所揭露之實施例而在小於約100℃(例如約40℃)的溫度進行蝕刻。
在一些實施例中,反應性物種可用以蝕刻基板上的基於金屬之材料。對於蝕刻為金屬氧化物(例如,鈦氧化物)之材料而言,反應性物種可包含了含鹵素電漿(例如,含氟電漿、含溴電漿、及含氯電漿)。藉由使用含氯電漿而蝕刻金屬氧化物的一範例涉及使基板暴露於一氣體(例如Cl2)、及點燃電漿。用以蝕刻金屬氧化物之方法可在一溫度執行,該溫度高於執行用以蝕刻含矽或含碳材料之方法的溫度。例如,在一些實施例中,基於金屬的材料(例如,金屬氧化物)可藉由使用所揭露的實施例而在大於約80℃(例如約120℃)之溫度進行蝕刻。吾人應理解,本文中所提及的基板溫度或溫度係指示對固定基板之基座加以設定之溫度。
選擇用以化學吸附至欲蝕刻之材料表面上的反應性物種不會自發性地蝕刻基板上的材料。在各種的實施例中,反應性物種係選擇為亦不會蝕刻任何遮罩或基板表面上的圖案。當激發之惰性離子達到化學吸附或改質層時,化學吸附層獲得足夠的能量來使表面活化並形成非揮發性的副產物,該非揮發性的副產物可接著被從在蝕刻操作期間容納了基板之腔室移除。
在一些實施例中,在基板暴露於反應性物種的同時,基板亦暴露於惰性離子(303)。在各種的實施例中,可使惰性離子以高濃度流動至容納基板之腔室,以稀釋反應性物種的存在並維持自限性的蝕刻處理。惰性離子可具有高游離率。範例包含了自氦、氖、氬、氪、氙、或其組合產生的離子。在一些實施例中,惰性離子對反應性物種之比率係藉由以高壓(例如,在約30毫托與約1000毫托之間)操作而控制。以高壓操作確保了從反應性物種產生足夠的反應性分子及自由基,並同時抑制了惰性離子的整體離子密度以防止惰性離子對基板的損傷。在各種的實施例中,偏壓電壓係加以設定,俾使惰性離子會蝕刻材料的離子能量係高於用以移除化學吸附層之材料的活化能量,且同時兩能量皆低於以惰性離子對目標材料進行物理性濺射的能量(如上面參照圖1所述)。
不受限於特定理論,吾人相信欲蝕刻之材料表面上的反應性物種可找到一位置(site)並被表面吸附高達幾個原子層。直到離子活化該局部位置為止,吸附層不具有足夠的能量來克服表面能(例如,它不會從基板被蝕刻)。一旦惰性離子活化該局部位置,該活化位置形成一非揮發性的副產品,該非揮發性的副產品可擴散至腔室中並被抽出。然而,若離子到達不具有反應性化學品吸附之表面,則其不會具有足夠的能量對基板進行物理濺射,並因此防止了對基板的損傷。蝕刻因此可以連續的方式執 行,並同時仍維持自限性反應以控制蝕刻輪廓。在蝕刻期間,反應性離子密度維持在非常低的密度(換言之,小於總離子密度的約1%),且電漿能量亦為非常低的。蝕刻行為可因此由惰性離子主導,使得惰性離子得以於表面被反應性物種改質時將改質的表面活化並蝕刻材料。
由於氣體係連續地流動而無須在氣體之間進行切換(如同循環ALE中所執行),所揭露實施例的一優點為在生產效率上的提高。另一優點為可藉由幾個電漿參數(例如,偏壓電壓、電漿功率、電漿頻率、氣體流率及濃度、及腔室壓力)而輕易控制所揭露之實施例。所揭露之實施例產生了快速且控制良好的電漿,該電漿可用以達成低損傷及高選擇性的蝕刻。
此外,有一些情況,例如高深寬比蝕刻,其中自由基擴散成為蝕刻率、深寬比相依性蝕刻、或通節距負載(through-pitch loading)的主要因素。對於這些應用方式而言,一些實施例可結合具有較高壓力的偏壓脈衝、及低反應性濃度電漿。
例如,對於蝕刻高深寬比特徵部而言,可使蝕刻腔室中的氣體混合物維持為具有用於化學吸附的低反應性離子濃度。處理條件係加以控制以確保有足夠的時間用於氣體擴散(其範圍可介於微秒至數秒)。偏壓電壓可為僅在擴散時間係足以達到高深寬比特徵之底部而形成化學吸附層之後開啟。可使用短電壓脈衝以輸送離子而移除此層,且擴散-移除的循環對於高深寬比蝕刻而言可重複許多次。由於根據本揭露內容之移除能量臨界值技術,離子能量為低的而使得只有化學吸附層可被離子移除,但在習知蝕刻中,反應性離子蝕刻為主導性的且通常希望有較高的離子能量,因此相較於高電壓的習知蝕刻,以使用偏壓脈衝之所揭露實施例導致在選擇性上的顯著改善。
所揭露之氣體混合概念可延伸至更複雜的蝕刻機制及化學品。例如,一些CxFy或CxHyFz蝕刻化學品、或含有蝕刻劑及沉積物二者的蝕刻化學品會需要若干活化能量以蝕刻目標材料。高電壓加上反應性離子對於蝕刻率係有幫助的,但其亦對基板造成更多的損傷、降低遮罩選擇性、且有時導致了離子散射造成的側壁攻擊。然而,由於離子能量低於濺射臨界值時不會發生離子損傷,因此低能量的惰性離子可克服一些關鍵的挑戰。且若離子散射發生時,一些能量通常會丟失且可能無法再使側壁表面活化。使用所揭露實施例的非等向性刻蝕可能具有對遮罩材料的無限大選擇性。這可適用於雙重圖案化應用方式中的核心蝕刻以及許多其他圖案化及閘極蝕刻應用方式,諸如FinFET結構、邏輯閘極、及3D NAND結構之製造。
設備
所揭露之實施例可於任何合適的蝕刻室或設備中執行,例如可由Lam Research Corporation of Fremont,CA獲得的Kiyo® FX。在一些實施例中,可使用感應耦合電漿(ICP)反應器。這樣的ICP反應器亦已於2013年12月10日申請之美國專利申請公開號第2014/0170853號中加以描述,該美國專利申請案的發明名稱為“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”,為了描述用以實行本中所述技術的合適ICP反應器,其內容係納入本文中做為參照。雖然ICP反應器係在此處加以描述,但在一些實施例中,應理解亦可使用電容耦合電漿反應器。範例性蝕刻腔室或設備可包含具有腔室壁的腔室、用以固定欲處理之基板或晶圓的卡盤(其可包含用以夾持及解除夾持晶圓的靜電電極,且可為藉由使用RF電源供應器而帶電的)、用以供應功率至線圈以產生電漿的 RF電源供應器、用以讓本中所述之氣體進入的氣體流動入口。在一些實施例中,設備可包含多於一的腔室,其中每一者可用以蝕刻、沉積、或處理基板。該腔室或設備可包含一系統控制器,該系統控制器係用以控制該腔室或設備的一切或全部操作,諸如調製腔室壓力、惰性氣體流量、電漿功率、電漿頻率、反應性氣體流量(例如,含氯氣體、含氧氣體、含氟氣體等)、偏壓功率、溫度、真空設定、及其他處理條件。
設備
現在描述電感式耦合電漿(ICP)反應器,其在某些實施例中可適合用於原子層蝕刻(ALE)操作。這樣的ICP反應器亦已於2013年12月10日申請之美國專利申請公開號第2014/0170853號中加以描述,該美國專利申請案的發明名稱為“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”,其全部內容係納入本文中做為參照且用於所有目的。雖然ICP反應器係在此處加以描述,但在一些實施例中,應理解亦可使用電容耦合電漿反應器。
圖4示意性地顯示適合用於實行本文中某些實施例之感應耦合電漿整合蝕刻及沉積設備400的橫剖面圖,該設備的一範例為Kiyo®反應器,由Lam Research Corp.of Fremont,CA所製造。感應式耦合電漿設備400包含結構上由腔室壁401及窗411所定義的整體處理腔室424。腔室壁401可由不銹鋼或鋁加以製造。窗411可由石英或其他介電材料加以製造。可選性的內部電漿格柵450將整體處理腔室424分隔成上子腔室402及下子腔室403。在大部分的實施例中,電漿格柵450可加以移除,從而利用由子腔室402和403構成的腔室空間。卡盤417係設置在下子腔室403中靠近底部內表面。卡盤417係用以接收及固定半導體基板或晶圓419,蝕刻及沉積製 程係於該半導體基板或晶圓419上執行。卡盤417可為靜電卡盤,用以於晶圓419存在時支撐晶圓419。在一些實施例中,邊緣環(未顯示)環繞卡盤417,且當晶圓419存在卡盤417上時,該邊緣環的上表面係與晶圓419的頂部表面大致共平面。卡盤417亦包含用以夾持及解除夾持晶圓419的靜電電極。可為此目的而設置濾波器及DC箝式電源供應器(未顯示)。亦可設置用以抬升晶圓419遠離卡盤417的其他控制系統。可藉由使用RF電源供應器423而使卡盤417帶電。RF電源供應器423係透過連線427連接至匹配電路421。該匹配電路421係藉由連線425連接至卡盤417。以此方式,RF電源供應器423連接至卡盤417。
用於電漿產生的元件包含設置在窗411上方的線圈433。在一些實施例中,線圈在所揭示的實施例中係未加以使用。線圈433係由導電材料製成,且包含至少一整圈。在圖4中所顯示的線圈433之範例包含三圈。線圈433的橫剖面係以符號顯示,且具有「X」的線圈旋轉地延伸進入頁面,而具有「●」的線圈旋轉地延伸出頁面。用於電漿產生的元件亦包含RF電源供應器441,其用以供應RF功率至線圈433。一般而言,RF電源供應器441係透過連線445連接至匹配電路439。匹配電路439係藉由連線443連接至線圈433。以此方式,RF電源供應器441連接至線圈433。可選性的法拉第屏蔽449係在線圈433與窗411之間。法拉第屏蔽449相對於線圈433係維持為間隔開的關係。法拉第屏蔽449係配置在窗411的正上方。線圈433、法拉第屏蔽449、及窗411係各自配置成實質上彼此平行。法拉第屏蔽449可防止金屬或其他物種沉積在處理腔室424的窗411上。
處理氣體(例如,反應性物種或前驅物、還原劑、載體氣體、含鹵素氣體、氯、惰性氣體諸如氦、氬等)可透過設置在上子腔室402中的一或更多主要氣體流入口460、及/或通過一或更多側面氣體流入口 470而流入至處理腔室中。同樣地,雖然未明確顯示,但類似的氣體流入口可用以將處理氣體供應至電容耦合電漿處理腔室。真空泵浦440(例如,一或二階段機械乾式泵浦及/或渦輪分子泵浦)可用以將處理氣體抽出處理腔室424並維持處理腔室424內之壓力。例如,真空泵浦440在ALD的掃氣操作期間可用以排空下子腔室403。可使用閥控制的導管將真空泵浦流體連接至處理腔室424,以選擇性地控制由真空泵浦提供之真空環境的應用。這可藉由在操作電漿處理期間使用閉路控制的流量限制裝置(例如節流閥(未顯示)或鐘擺閥(未顯示))而進行。同樣地,亦可使用連接至電容耦合電漿處理腔室的真空泵浦及閥控制之流體連接。
在設備400的操作期間,一或更多處理氣體可透過氣體流入口460及/或470而供應。在某些實施例中,處理氣體可為僅透過主要氣體流入口460、或僅通過側面氣體流入口470而供應。在一些情況下,圖中顯示的氣體流入口可由更複雜的氣體流入口(例如,一或更多噴淋頭)加以替換。法拉第屏蔽449及/或可選性的格柵450可包含允許將處理氣體輸送至處理腔室424的內部通道及孔洞。法拉第屏蔽449及可選性的格柵450之其中一者或兩者可做為用以輸送處理氣體的噴淋頭。在一些實施例中,液體汽化及輸送系統可位於處理腔室424的上游,使得一旦液體反應物或前驅物汽化,則汽化的反應物或前驅物係經由氣體流入口460及/或470導入至處理腔室424中。
射頻功率係從RF電源供應器441供應至線圈433,以引起RF電流流經線圈433。流經線圈433的RF電流在線圈433周圍產生電磁場。該電磁場在上子腔室402內產生感應電流。各種產生的離子及自由基與晶圓419之物理及化學交互作用在晶圓419上蝕刻特徵部及沉積層。
揮發性的蝕刻及/或沉積副產物可自下子腔室403透過埠422加以移除。本文所揭露之卡盤417可以在介於約10℃與約250℃之間的升高溫度進行操作。溫度會取決於製程操作及特定的配方。
當安裝於潔淨室或製造設施中時,設備400可耦接至複數設施(未顯示)。該等設施包含提供處理氣體、真空、溫度控制、及環境粒子控制的管路。當安裝在目標製造設施中時,這些設施係耦接至設備400。此外,設備400可耦接至傳送腔室,該傳送腔室允許機器人使用典型自動化技術將半導體晶圓傳送進出設備400。
在一些實施例中,系統控制器430(其可包含一或更多物理或邏輯控制器)控制處理腔室424的一些或全部操作。系統控制器430可包含一或更多記憶體裝置及一或更多處理器。例如,記憶體可包含用以在改質化學品(例如,含氯之改質化學品)與移除氣體(例如,氬氣)之間進行交替的指令、或用以點燃電漿或施加偏壓的指令。例如,記憶體可包含指令以在一些操作期間將偏壓設定在約0V與約200V之間的功率。在一些實施例中,設備400包含一切換系統,該切換系統係用以於執行所揭示的實施例時控制流率及持續時間。在一些實施例中,設備400可具有高達約500ms、或高達約750ms的切換時間。切換時間可取決於流動化學性質、所選擇的配方、反應器結構、及其他因素。
在一些實施例中,可將所揭露實施例整合於多站序列式沉積(MSSD,Multi-Station-Sequential-Deposition)腔室架構上,其中沉積站其中一者可由ALE站所取代,俾以允許使用相似化學品之整合式沉積/蝕刻/沉積製程,以達成較佳的填充及更快速的生產能力。
在一些實施方式中,系統控制器430為系統的一部分,該系統可為上述範例的一部分。這樣的系統可包括半導體處理設備,其包含一 或更多處理工具、一或更多腔室、用於處理的一或更多平臺、及/或特定處理元件(晶圓基座、氣流系統等)。這些系統可與複數電子設備整合,該等電子設備係用以在半導體晶圓或基板處理之前、期間、及之後控制這些系統的操作。電子設備可整合進系統控制器430,系統控制器430可控制該一或更多系統的各種元件或子部分。依據系統的類型及/或處理參數,系統控制器430可加以編程以控制本文中所揭露的任何製程,包含:處理氣體的輸送、溫度設定(例如:加熱及/或冷卻)、壓力設定、真空設定、功率設定、射頻(RF)產生器設定、RF匹配電路設定、頻率設定、流率設定、流體輸送設定、位置及操作設定、出入一工具及其他傳送工具及/或與特定系統連接或介接的負載鎖之晶圓傳送。
廣義而言,系統控制器430可定義為具有各種的積體電路、邏輯、記憶體、及/或軟體的電子設備,該等積體電路、邏輯、記憶體、及/或軟體可接收指令、發布指令、控制操作、啟用清潔操作、啟用端點量測、及進行類似操作。積體電路可包含儲存程式指令之韌體形式的晶片、數位訊號處理器(DSP)、定義為特殊應用積體電路(ASIC)的晶片、及/或執行程式指令(例如軟體)的一或更多微處理器或微控制器。程式指令可為以各種的個別設定(或程式檔案)輸送至控制器的指令,該等設定定義了對於半導體晶圓或系統執行特殊製程的操作參數。在一些實施例中,該等操作參數可為由製程工程師定義之配方的部分,以在一或更多層、材料、金屬、氧化物、矽、二氧化矽、表面、電路、及/或晶圓的晶粒製造或移除期間完成一或更多處理步驟。
在一些實施方式中,系統控制器430可為電腦的一部分或耦接至電腦,該電腦係與系統整合、耦接至系統、以其他方式網路連至系統、或其組合。例如:控制器可為在「雲端」、或為晶圓廠主機電腦系統 的全部或部分,其可允許晶圓處理的遠端存取。該電腦可允許對系統的遠端存取以監控製造操作的當前進度、檢查過往製造操作的歷史、檢查來自複數個製造操作的趨勢或性能指標、以改變目前處理的參數、以設定當前操作之後的處理步驟、或啟動新的製程。在一些範例中,遠程電腦(例如伺服器)可透過網路提供製程配方至系統,該網路可包含區域網路或網際網路。遠程電腦可包含使用者介面,其允許參數及/或設定的輸入或編程,這些參數及/或設定係接著從遠程電腦被通訊至系統。在一些範例中,系統控制器430接收數據形式的指令,其指定了欲於一或更多操作期間執行的每一處理步驟的參數。吾人應理解,該等參數可特定於欲執行之製程的類型、及工具(控制器係配置成與該工具介面接合或控制該工具)的類型。因此,如上所述,系統控制器430可為分散式的,例如藉由包含一或更多分散的控制器,其由網路連在一起且朝共同的目的(例如,本文中所述之製程及控制)作業。用於這樣的目的之分散式控制器的一範例會是腔室上的一或更多積體電路,其與位於遠端(例如為平台等級、或為遠端電腦的部分)之一或更多的積體電路通訊,其結合以控制該腔室上的製程。
不受限制地,範例系統可包含電漿蝕刻腔室或模組、沉積腔室或模組、旋轉-潤洗腔室或模組、金屬電鍍腔室或模組、清潔腔室或模組、斜邊蝕刻腔室或模組、物理氣相沉積(PVD)腔室或模組、化學氣相沉積(CVD)腔室或模組、原子層沉積(ALD)腔室或模組、原子層蝕刻(ALE)腔室或模組、離子植入腔室或模組、軌道腔室或模組、及任何可關聯或使用於半導體晶圓的製造及/或生產中之其他的半導體處理系統。
如上面所述,依據將由工具執行的一或更多處理步驟,控制器可與下述通訊:一或更多其他工具電路或模組、其他工具元件、群組 工具、其他工具介面、毗鄰工具、相鄰工具、位於工廠各處的工具、主電腦、另一個控制器、或用於材料傳送的工具,該等用於材料傳送的工具將晶圓的容器攜帶進出半導體生產工廠內的工具位置及/或裝載埠。
圖5描繪具有各種模組的半導體製程群集架構,該等模組係與一真空傳送模組538(VTM)介接。在多個儲存設施及處理模組之間「傳送」晶圓的傳送模組之配置可稱為「群集工具架構」系統。氣匣530(亦稱為負載鎖室或輸送模組)係顯示於VTM 538中,該VTM具有四處理模組520a-520d,該等處理模組可各別最佳化以執行各樣的製造處理。舉例而言,舉例而言,可實行處理模組520a、520b、520c、及520d以執行基板蝕刻、沉積、離子佈植、晶圓清潔、濺射、及/或其他半導體處理。在根據本揭露內容的一些實施例中,藉由反應性物種而進行之改質、及用於材料蝕刻與移除的對惰性離子之暴露係在相同的模組中執行。氣匣530及處理模組520可被稱為「工作站」。每個工作站具有將該工作站介接至VTM 538的面部(facet)536。在每一面部的內部,感測器1-18係用以於晶圓526在個別的工作站之間移動時偵測其通過。
機器人522在工作站之間傳送晶圓526。在一實施例中,機器人522具有一手臂,而在另一實施方例中,機器人522具有二手臂,其中每一手臂具有一末端執行器524以拾取晶圓(例如晶圓526)以供傳輸。在大氣傳送模組(ATM)540中的前端機器人532係用以將晶圓526由裝載埠模組(LPM)542中的卡匣或前開式晶圓傳送盒(Front Opening Unified Pod,FOUP)534傳送至氣匣530。在處理模組520中的模組中心528係用以放置晶圓526的一個位置。在ATM 540中的對準器544係用以對準晶圓。
在一示例性的處理方法中,晶圓係放置於LPM 542中之FOUP 534的其中一者內。前端機器人532將晶圓由FOUP 534傳送至對準器 544,該對準器544使得晶圓526得以在其被蝕刻或處理之前正確地置中。在經過對準之後,晶圓526係藉由前端機器人532移動進入氣匣530中。由於氣匣模組具有使ATM與VTM之間的環境相匹配的能力,因此晶圓526係能夠在不受損傷的情況下於二種壓力環境之間移動。從氣匣530,晶圓526係藉由機器人522而透過VTM 538移動進入處理模組520a-520d其中一者中。為達成此晶圓移動,機器人522使用在其每一手臂上的末端執行器524。一旦晶圓526已進行處理,其係藉由機器人522從處理模組520a-520d移動至氣匣530。從那裡,晶圓526可由前端機器人532移動至FOUP 534其中一者或對準器544。
吾人應注意,控制晶圓移動的電腦對於群集結構可為本地的,或可位於群集結構之外而在生產車間(manufacturing floor)中,或位於遠端位置中並經由網路連接至群集結構。上面參照圖4所述之控制器可與圖5中的工具一起實行。
實驗部分
實驗1
圖6顯示了各種惰性氣體之離子密度及壓力的參考數據之曲線圖。數據係在一簡單的惰性或反應性氣體條件下藉由在不同壓力下使氣體流入蝕刻工具而獲得。200sccm流量的Ar、He、Cl2、HBr、O2、N2、及CF4在1500W的電漿功率下的歸一化離子密度對壓力之關係係加以顯示。如圖中所指示,對於許多氣體而言離子密度在較高的壓力下降低,且Ar或He較其它分子氣體產生高得多的離子密度。
實驗2
在一基板上進行實驗,該基板包含底層及目標含碳層,該目標含碳層具有上覆的遮罩。該基板係容納在具有200毫托之腔室壓力的腔室中。該基板係暴露於一電漿30秒,該電漿係在20℃的溫度下藉由使用設定在1500W的感應耦合電漿功率、使用1500sccm的氦及5sccm的O2、並同時施加50Vb的偏壓而產生。該處理蝕刻了碳層的35.73nm,且經蝕刻的含碳目標層具有實質上垂直的側壁。此外,該遮罩保持良好的輪廓而沒有遮罩損耗,使得遮罩的側壁保持垂直。
實驗3
在一基板上進行實驗,該基板包含在基板上之含碳層中的鈦氧化物間隔物。該基板係容納在具有200毫托之腔室壓力的腔室中。該基板係暴露於一電漿100秒,該電漿係在120℃的溫度下藉由使用設定在1500W的感應耦合電漿功率、使用1500sccm的氦與10sccm的Cl2之混合物、並同時施加50Vb的偏壓而產生。鈦氧化物間隔物在該此處理中係受到非等向性蝕刻,且所產生的基板保持良好的輪廓而沒有遮罩損耗或側壁蝕刻,使得遮罩的側壁維持垂直且沒有觀察到底切。
實驗4
在一基於矽之基板上進行實驗,該基板具有上覆的光阻遮罩。該基板係容納在具有250毫托之腔室壓力的腔室中。該基板係暴露於一電漿10秒,該電漿係在40℃的溫度下藉由使用設定在1000W的感應耦合電漿功率、使用1500sccm的氦與20sccm的CF4、並同時施加50Vb的偏壓而產生。基板中的特徵部在此處理中係受到非等向性蝕刻,且所產生的基 板保持良好的輪廓而沒有側壁蝕刻,使得遮罩及受蝕之刻特徵部的側壁維持垂直且沒有觀察到底切。
結論
雖然上述實施例為了清楚理解的目的已以一些細節加以描述,但顯然地,某些改變與修飾可在隨附申請專利範圍之範疇內加以實施。應注意有許多替代方式可執行本實施例的製程、系統、及裝置。因此,本發明實施例應被視為說明性而非限制性,且本發明之實施例不受限於本文中所提供的細節。

Claims (21)

  1. 一種蝕刻基板的材料之方法,該方法包含:使一處理腔室中的一基板暴露於由一反應性物種所產生之電漿及由一惰性離子氣體所產生之電漿,以藉由使用自限性反應而移除該基板上暴露的一材料,其中用以使用該惰性離子氣體移除被該反應性物種加以改質之該材料的層之能量臨界值係小於用以使用該惰性離子氣體濺射該基板上暴露的該材料之能量臨界值。
  2. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中該基板對該反應性物種之暴露將該基板之暴露的該材料改質,且電漿所產生的惰性離子移除改質的該材料,從而蝕刻該材料。
  3. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中在該基板暴露於該反應性物種及惰性離子之期間,電漿係連續地輸送,使得來源源功率及偏壓功率在蝕刻期間皆為連續地開啟。
  4. 如申請專利範圍第3項之蝕刻基板的材料之方法,其中在蝕刻期間存在於該處理腔室中的該惰性離子氣體之濃度係大於該腔室中所有化學物種的99%,而該反應性物種之濃度係小於約1%。
  5. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中一遮罩層上覆在欲蝕刻之該基板的該材料上,且用以使用該惰性離子氣體移除被該反應性物種加以改質之該材料的層的能量臨界值係小於該惰性離子具有足夠的能量轟擊或濺射至在該目標層上的該遮罩層之表面上並從而導致遮罩材料之物理性移除的能量臨界值。
  6. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中該處理腔室之壓力係在約30毫托與約1000毫托之間。
  7. 如申請專利範圍第6項之蝕刻基板的材料之方法,其中該處理腔室之壓力係在約100毫托與約500毫托之間。
  8. 如申請專利範圍第7項之蝕刻基板的材料之方法,其中該處理腔室之壓力係在約200毫托與約300毫托之間。
  9. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中對蝕刻該基板上的未改質材料而言為反應性的反應性離子之離子密度係可忽略的。
  10. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中欲蝕刻之基板的該材料為含碳材料。
  11. 如申請專利範圍第10項之蝕刻基板的材料之方法,其中欲蝕刻的該含碳材料為非晶碳。
  12. 如申請專利範圍第11項之蝕刻基板的材料之方法,其中該反應性物種包含選自於由含氧電漿、含氟電漿、含氯電漿、含溴電漿或其組合所組成之群組的物種。
  13. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中欲蝕刻之基板的該材料為含矽材料。
  14. 如申請專利範圍第13項之蝕刻基板的材料之方法,其中欲蝕刻之該含矽材料係選自於由矽、矽鍺、矽氧化物、矽碳化物、矽氮化物、摻雜矽碳化物、及其組合所組成之群組。
  15. 如申請專利範圍第14項之蝕刻基板的材料之方法,其中該矽包含多晶矽及/或摻雜矽。
  16. 如申請專利範圍第14或15項之蝕刻基板的材料之方法,其中該反應性物種包含選自於由含氟電漿、含氯電漿、含溴電漿或其組合所組成之群組的物種。
  17. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中欲蝕刻之基板的該材料係含金屬材料。
  18. 如申請專利範圍第17項之蝕刻基板的材料之方法,其中欲蝕刻之該含金屬材料係選自於由元素金屬之鎢與鈦、金屬氧化物之鈦氧化物、金屬氮化物、及其組合所組成之群組。
  19. 如申請專利範圍第18項之蝕刻基板的材料之方法,其中該反應性物種包含選自於由含氟電漿、含氯電漿、含溴電漿或其組合所組成之群組的物種。
  20. 如申請專利範圍第1項之蝕刻基板的材料之方法,其中惰性離子包含從He、Ne、Ar、Kr、Xe、或其組合產生的離子。
  21. 一種蝕刻基板的材料之設備,該設備包含:(a)一或更多處理腔室,每一處理腔室包含一卡盤;(b)進入該等處理腔室的一或更多氣體入口、及相關聯的一流量控制硬體;(c)一電漿產生器,用以在該一或更多處理腔室其中至少一者中產生電漿;及(d)一控制器,具有至少一處理器及一記憶體,其中該至少一處理器及該記憶體係彼此通訊連接,該至少一處理器係至少與該流量控制硬體操作連接,且該記憶體儲存複數電腦可執行指令,該等電腦可執行指令係用以控制該至少一處理器以至少控制該流量控制硬體執行以下操作:使該一或更多處理腔室其中一者中的一基板暴露於由一反應性物種所產生之電漿及由一惰性離子氣體所產生之電漿,以使用自限性反應而藉由蝕刻移除在該基板上暴露的一材料, 其中用以使用該惰性離子氣體移除被該反應性物種加以改質之該材料的層之能量臨界值係小於用以使用該惰性離子氣體濺射該基板上暴露的該材料之能量臨界值,且其中在該基板暴露於該反應性物種及惰性離子之期間,電漿係連續地輸送,使得來源源功率及偏壓功率在蝕刻期間皆為連續地開啟。
TW106103603A 2016-02-05 2017-02-03 蝕刻基板的材料之方法及設備 TWI726989B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662292115P 2016-02-05 2016-02-05
US62/292,115 2016-02-05
US201662322135P 2016-04-13 2016-04-13
US62/322,135 2016-04-13
US15/421,189 2017-01-31
US15/421,189 US9991128B2 (en) 2016-02-05 2017-01-31 Atomic layer etching in continuous plasma

Publications (2)

Publication Number Publication Date
TW201739951A TW201739951A (zh) 2017-11-16
TWI726989B true TWI726989B (zh) 2021-05-11

Family

ID=59496294

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106103603A TWI726989B (zh) 2016-02-05 2017-02-03 蝕刻基板的材料之方法及設備

Country Status (4)

Country Link
US (1) US9991128B2 (zh)
KR (1) KR20170093718A (zh)
CN (1) CN107045977B (zh)
TW (1) TWI726989B (zh)

Families Citing this family (31)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
JP2019036655A (ja) * 2017-08-18 2019-03-07 ソニーセミコンダクタソリューションズ株式会社 エッチング方法およびエッチング加工装置
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
CN107946311B (zh) * 2017-11-21 2020-09-25 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
EP3776636A4 (en) 2018-03-30 2021-12-22 Lam Research Corporation ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS
KR102592922B1 (ko) * 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
US11437250B2 (en) * 2018-11-15 2022-09-06 Tokyo Electron Limited Processing system and platform for wet atomic layer etching using self-limiting and solubility-limited reactions
CN113906552A (zh) 2019-04-29 2022-01-07 朗姆研究公司 用于减法式金属蚀刻的原子层蚀刻
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11302545B2 (en) 2020-03-20 2022-04-12 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11675340B2 (en) 2020-04-08 2023-06-13 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus
KR102548989B1 (ko) 2020-04-29 2023-06-29 에이아이벤자민 주식회사 인공지능 기반의 금융서비스 제공방법
CN112366135A (zh) * 2020-10-26 2021-02-12 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
CN115020173B (zh) * 2022-08-10 2022-10-28 江苏邑文微电子科技有限公司 电感耦合等离子体刻蚀系统及其刻蚀控制方法
CN117613003B (zh) * 2024-01-23 2024-04-16 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201140687A (en) * 2009-12-15 2011-11-16 Univ Houston System Atomic layer etching with pulsed plasmas
CN103748658A (zh) * 2011-07-20 2014-04-23 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻

Family Cites Families (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (zh) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
EP1048064A1 (en) 1998-01-13 2000-11-02 Applied Materials, Inc. Etching methods for anisotropic platinum profile
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
EP1120820A3 (en) 2000-01-24 2008-01-09 Ebara Corporation Method and apparatus for forming interconnect
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
WO2002091461A2 (en) 2001-05-04 2002-11-14 Tokyo Electron Limited Ionized pvd with sequential deposition and etching
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US20070087581A1 (en) * 2005-09-09 2007-04-19 Varian Semiconductor Equipment Associates, Inc. Technique for atomic layer deposition
US8257987B2 (en) 2006-02-02 2012-09-04 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
EP2240968A1 (en) 2008-02-08 2010-10-20 Illumitex, Inc. System and method for emitter layer shaping
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
WO2012050888A2 (en) 2010-09-28 2012-04-19 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US8883028B2 (en) 2011-12-28 2014-11-11 Lam Research Corporation Mixed mode pulsing etching in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9570600B2 (en) 2012-11-16 2017-02-14 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
TWI593015B (zh) 2014-07-10 2017-07-21 東京威力科創股份有限公司 基板之高精度蝕刻方法
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9675811B2 (en) 2014-08-28 2017-06-13 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9362131B2 (en) 2014-08-29 2016-06-07 Applied Materials, Inc. Fast atomic layer etch process using an electron beam
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
TW202336855A (zh) 2015-06-05 2023-09-16 美商蘭姆研究公司 GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR102451098B1 (ko) 2015-09-23 2022-10-05 삼성전자주식회사 자기 메모리 장치 및 이의 제조 방법
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201140687A (en) * 2009-12-15 2011-11-16 Univ Houston System Atomic layer etching with pulsed plasmas
CN103748658A (zh) * 2011-07-20 2014-04-23 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻

Also Published As

Publication number Publication date
CN107045977A (zh) 2017-08-15
TW201739951A (zh) 2017-11-16
US20170229311A1 (en) 2017-08-10
KR20170093718A (ko) 2017-08-16
CN107045977B (zh) 2020-06-30
US9991128B2 (en) 2018-06-05

Similar Documents

Publication Publication Date Title
TWI726989B (zh) 蝕刻基板的材料之方法及設備
US10096487B2 (en) Atomic layer etching of tungsten and other metals
TWI692034B (zh) 鈷回蝕
TWI750120B (zh) GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US10714354B2 (en) Self limiting lateral atomic layer etch
CN109427576B (zh) 蚀刻方法
US10515816B2 (en) Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US11742212B2 (en) Directional deposition in etch chamber
TW201903833A (zh) 具有原子層蝕刻重置之選擇性沉積
US11270890B2 (en) Etching carbon layer using doped carbon as a hard mask
TW201626451A (zh) 高深寬比結構中的接觸窗清洗
TW201921484A (zh) 使用循環的鈍化與蝕刻之高深寬比選擇性側向蝕刻
TW201715610A (zh) 非等向性鎢蝕刻用方法及設備