CN107045977A - 连续等离子体中的原子层蚀刻 - Google Patents

连续等离子体中的原子层蚀刻 Download PDF

Info

Publication number
CN107045977A
CN107045977A CN201710066218.0A CN201710066218A CN107045977A CN 107045977 A CN107045977 A CN 107045977A CN 201710066218 A CN201710066218 A CN 201710066218A CN 107045977 A CN107045977 A CN 107045977A
Authority
CN
China
Prior art keywords
substrate
etching
ion
plasma
inert
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201710066218.0A
Other languages
English (en)
Other versions
CN107045977B (zh
Inventor
谭忠魁
张依婷
吴垠
徐晴
符谦
山口叶子
崔麟
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN107045977A publication Critical patent/CN107045977A/zh
Application granted granted Critical
Publication of CN107045977B publication Critical patent/CN107045977B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45544Atomic layer deposition [ALD] characterized by the apparatus
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • H01L21/30608Anisotropic liquid etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3085Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by their behaviour during the process, e.g. soluble masks, redeposited masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • H01L21/31122Etching inorganic layers by chemical means by dry-etching of layers not containing Si, e.g. PZT, Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • H01L21/6833Details of electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

本发明涉及连续等离子体中的原子层蚀刻。基于通过评估待蚀刻材料和用于蚀刻材料的化学物质确定的去除能量阈值使用自限制反应来蚀刻衬底的方法和装置涉及连续等离子体的流动。工艺条件允许受控的自限制各向异性蚀刻,而不在用于蚀刻衬底上的材料的化学物质之间交替。良好控制的蚀刻前沿允许反应性自由基和惰性离子的协同效应以执行蚀刻,使得当衬底被反应性自由基改变并且被惰性离子移除时蚀刻材料,而当材料被反应性自由基改变但不存在惰性离子时或当存在惰性离子但材料没有被反应性自由基改变时不蚀刻材料。

Description

连续等离子体中的原子层蚀刻
技术领域
本发明涉及半导体领域,更具体而言涉及连续等离子体中的原子层蚀刻。
背景技术
多年来已经研究了原子级的等离子体蚀刻。传统的等离子体蚀刻工艺通常使用反应离子和反应化学物质以高蚀刻速率进行,但由于等离子体的反应性,蚀刻工艺通常导致在待蚀刻材料下面的层的不期望的蚀刻。
发明内容
本文提供了基于通过评估待蚀刻材料和用于蚀刻材料的化学物质确定的去除能量阈值使用自限制反应来蚀刻衬底的方法和装置。实施方式涉及在工艺条件下的连续等离子体的流动,以允许受控的自限制各向异性蚀刻,而不在用于蚀刻衬底上的材料的化学物质之间交替。根据所公开的实施方式,良好控制的蚀刻前沿允许反应性自由基和惰性离子的协同效应以执行蚀刻,使得当衬底被反应性自由基改变并且被惰性离子移除时蚀刻材料,而当材料被反应性自由基改变但不存在惰性离子时或当存在惰性离子但材料没有被反应性自由基改变时不蚀刻材料。
在一个方面,本公开涉及一种蚀刻衬底的材料的方法。该方法包括将处理室中的衬底暴露于由反应性物质产生的等离子体和由惰性离子气体产生的等离子体,以使用自限制反应去除材料,其中用于使用所述惰性离子气体去除由所述反应性物质改变的所述材料的层的能量阈值小于使用所述惰性离子气体溅射所述材料在所述衬底上的能量阈值。根据各种实施方式,衬底暴露于反应性物质改变经暴露的衬底材料,并且由所述等离子体产生的惰性离子去除经改变的衬底材料,从而蚀刻所述衬底材料。根据各种实施方式,在所述衬底暴露于反应性物质和惰性离子期间,所述等离子体被连续地输送,使得在所述蚀刻期间源功率和偏置功率都连续地导通。并且,根据各种实施方式,在所述蚀刻期间存在于所述处理室中的惰性气体的浓度大于所述室中所有化学物质的99%,而反应性物质的浓度小于约1%。
在另一方面,本公开提供了一种用于蚀刻衬底的材料的装置,该装置具有影响在本文所述的装置的处理室中蚀刻衬底的材料的方法的控制器。
下面参照附图进一步描述这些和其他方面。
具体而言,本发明的一些方面可以描述如下:
1.一种蚀刻衬底的材料的方法,所述方法包括:
将处理室中的衬底暴露于由反应性物质产生的等离子体和由惰性离子气体产生的等离子体,以使用自限制反应去除所述材料,
其中用于使用所述惰性离子气体去除由所述反应性物质改变的所述材料的层的能量阈值小于使用所述惰性离子气体溅射在所述衬底上的所述材料的能量阈值。
2.根据条款1所述的方法,其中所述衬底暴露于所述反应性物质改变经暴露的衬底材料,并且由所述等离子体产生的惰性离子去除经改变的衬底材料,从而蚀刻所述衬底材料。
3.根据条款1所述的方法,其中,在所述衬底暴露于所述反应性物质和惰性离子期间,所述等离子体被连续地输送,使得在所述蚀刻期间源功率和偏置功率都连续地导通。
4.根据条款3所述的方法,其中在所述蚀刻期间存在于所述处理室中的惰性气体的浓度大于所述室中所有化学物质的99%,而反应性物质的浓度小于约1%。
5.根据条款1所述的方法,其中,掩模层覆盖待蚀刻的所述衬底的所述材料,并且用于使用所述惰性离子气体去除由所述反应物质改变的所述材料的层的能量阈值小于使得惰性离子具有足够能量以轰击或溅射在目标层上的掩模层的表面上的能量阈值,从而导致掩模材料的物理去除。
6.根据条款1所述的方法,其中处理室压强在约30mTorr和约1000mTorr之间。
7.根据条款6所述的方法,其中处理室压强在约100mTorr和约500mTorr之间。
8.根据条款7所述的方法,其中处理室压强在约200mTorr和约300mTorr之间。
9.根据条款1所述的方法,其中具有反应性以蚀刻所述衬底上的未经改变的材料的反应性离子的离子密度是可忽略的。
10.根据条款1所述的方法,其中,待蚀刻的衬底的所述材料是基于碳的。
11.根据条款10所述的方法,其中待蚀刻的含碳材料是无定形碳。
12.根据条款11所述的方法,其中所述反应性物质包括选自由含氧等离子体、含氟等离子体、含氯等离子体、含溴等离子体或其组合构成的组中的物质。
13.根据条款1所述的方法,其中,待蚀刻的衬底的所述材料是基于硅的。
14.根据条款13所述的方法,其中待蚀刻的含硅材料选自由硅、多晶硅、硅锗、氧化硅、碳化硅、氮化硅、掺杂碳化硅、掺杂硅及其组合构成的组。
15.根据条款14所述的方法,其中所述反应性物质包括选自由含氟等离子体、含氯等离子体、含溴等离子体或其组合构成的组中的物质。
16.根据条款1所述的方法,其中待蚀刻的衬底的所述材料是基于金属的。
17.根据条款16所述的方法,其中待蚀刻的含金属材料选自由元素金属钨和钛、金属氧化物钛氧化物、金属氮化物及其组合构成的组。
18.根据条款17所述的方法,其中所述反应性物质包括选自由含氟等离子体、含氯等离子体、含溴等离子体或其组合构成的组中的物质。
19.根据条款1所述的方法,其中所述惰性离子包括由He、Ne、Ar、Kr、Xe或其组合产生的离子。
20.一种用于蚀刻衬底的材料的装置,所述装置包括:
(a)一个或多个处理室,每个处理室包括卡盘;
(b)进入到所述处理室并且与流控制硬件相关联的一个或多个气体入口;
(c)等离子体发生器,其用于在所述一个或多个处理室中的至少一个中产生等离子体;和
(d)具有至少一个处理器和存储器的控制器,其中
所述至少一个处理器和所述存储器彼此通信地连接,
所述至少一个处理器至少可操作地与所述流控制硬件连接,并且
所述存储器存储用于控制所述至少一个处理器以至少控制所述流控制硬件来进行以下操作的计算机可执行指令:
将处理室中的衬底暴露于由反应性物质产生的等离子体和由惰性离子气体产生的等离子体,以通过使用自限制反应的蚀刻来去除所述材料,
其中用于使用所述惰性离子气体去除由所述反应性物质改变的所述材料的层的能量阈值小于使用所述惰性离子气体溅射在所述衬底上的所述材料的能量阈值,
其中在所述衬底暴露于所述反应性物质和惰性离子的期间,所述等离子体被连续地输送,使得在所述蚀刻期间源功率和偏置功率都连续地导通。
附图说明
图1示出了描绘与根据本公开的原子层蚀刻的讨论相关的能量阈值的能量图。
图2A-B是经历某些所公开的实施方式的操作的衬底的示意图。
图3是描绘根据某些所公开的实施方式执行的操作的过程流程图。
图4是用于执行某些所公开的实施方式的示例性处理蚀刻室的示意图。
图5是用于执行某些所公开的实施方式的示例性处理装置的示意图。
图6示出了与根据本公开的原子层蚀刻的讨论相关的各种惰性气体的离子密度和压强的参考数据的图。
具体实施方式
在以下描述中,阐述了许多具体细节以提供对所呈现的实施方式的透彻理解。可以在没有这些具体细节中的一些或全部的情况下实施所公开的实施方式。在其他情况下,没有详细描述公知的处理操作以不必要地模糊所公开的实施方式。虽然将结合具体实施方式描述所公开的实施方式,但是应当理解,其并不旨在限制所公开的实施方式。
原子层蚀刻(ALE)是用于原子级控制蚀刻行为的一种方法。ALE是使用自限制的顺序反应步骤去除薄的材料层的一种技术。这可以通过表面改变操作(即通过反应性化学物质在衬底表面上的自由基反应的化学吸附),然后通过去除操作(即,使用惰性、非反应性离子的离子辅助蚀刻)来完成。这样的操作可以重复一定数量的循环。在ALE期间,反应化学物质和惰性离子被单独输送到衬底。
与用于至少某些蚀刻应用的传统等离子体蚀刻相比,ALE具有许多优点。例如,ALE可以适于执行各向异性蚀刻,并且可以导致改善的贯穿间距负载和纵横比相关蚀刻。ALE通常还导致对待蚀刻材料的较少损坏和对上覆待蚀刻材料的掩模材料的改进的选择性。
然而,ALE通常比常规等离子体蚀刻更慢,因为在每个ALE循环中蚀刻非常薄的层,并且每个循环依赖于饱和曲线等待时间使得改变化学物质具有足够的时间以使待蚀刻材料的表面充分饱和。饱和也在去除操作期间被使用,因此导致延长的处理时间。此外,在表面改变操作中使用的化学物质不同于在去除操作中使用的化学物质,这在改变化学物质和去除化学物质的交替脉冲中进行ALE时增加用于在到室的化学物质流之间切换的时间。在每个循环期间,化学物质也经常在脉冲之间被清除,以确保在每个循环中进行自限制反应。由于在一个循环中多种气体变化而带来的较慢蚀刻速率和工艺控制难度会限制半导体制造中ALE的使用。ALE通常还使用更简单的化学来进行,以降低在待蚀刻的衬底上化学累积的可能性。例如,更复杂的分子可能不适合与ALE一起使用,因为这样的分子可能积聚在待蚀刻的材料的表面上,并且不会使该表面完全饱和来执行自限制蚀刻工艺。
公开的蚀刻衬底的方法使用基于通过评估待蚀刻材料和用于蚀刻材料的化学物质所确定的去除能量阈值的自限制反应。实施方式涉及在工艺条件下的连续等离子体的流动,以允许受控的自限制各向异性蚀刻,而不在用于蚀刻衬底上的材料的化学物质之间交替。
使用连续等离子体执行的公开的实施方式组合了ALE和常规连续等离子体蚀刻的优点。在所公开的实施方式中产生的等离子体包括反应性物质(例如,自由基或分子)和惰性离子作为蚀刻剂,同时保持低反应性离子密度,使得反应性离子的任何蚀刻效应可忽略。如本文所述,惰性离子是指诸如氦或氩之类的气体的离子,其具有足以去除衬底表面上的改变材料的能量但不具有足以蚀刻未改变材料本身的反应性。另外,反应性离子密度是指具有反应性以使得它们可蚀刻衬底上的材料的离子(例如氧或氯离子)的密度。对比而言,如本文所述的反应性物质、反应性自由基或反应性化学物质可以指没有用于与衬底表面上的材料反应以改变衬底表面的电荷的等离子体物质。
在一些实施方式中,室中存在的惰性气体的浓度可以大于室中所有化学物质的约99%,而反应性物质(例如,改性化学物质)的浓度可以小于约1%。在一些实施方式中,由于高压下的低浓度和较短的平均自由程(mean-free-path),高压等离子体产生足够的反应性物质的自由基密度,甚至具有它们的低浓度,以改变衬底,同时在衬底保持极低浓度的反应性离子,从而保持高的化学吸附速率和比ALE更快的蚀刻速率。
在各种实施方式中,在蚀刻工艺期间可施加低偏置功率(例如,约50Vb)。在一些实施方式中,偏置功率是脉冲式的,例如在0Vb与约50Vb之间。应当理解,术语“偏置功率”和“偏置电压”在本文中可互换地用于描述当偏置施加到基座时基座被设定的电压。阈值偏置功率或阈值偏置电压是指在基座上的衬底表面上的材料被溅射之前施加到基座的偏置的最大电压。因此,阈值偏置功率部分地取决于待蚀刻的材料、用于产生等离子体的气体、用于点燃等离子体的等离子体功率和等离子体频率。如本文所述的偏置功率或偏置电压以伏特测量,其由单位“V”或“Vb”指示,其中b指偏置。
根据所公开的实施方式,良好控制的蚀刻前沿允许反应自由基和惰性离子的协同效应以执行蚀刻,使得当衬底被反应性自由基改变并且被惰性离子去除时蚀刻材料,而当材料被反应性自由基改变但没有惰性离子存在时或者当存在惰性离子但材料没有被反应性自由基改变时不蚀刻材料。所公开的实施方式减少了来自离子和等离子体的对衬底的损害,同时保持高的蚀刻选择性和平滑的蚀刻轮廓。在各种实施方式中,待蚀刻的特征的侧壁可不需要钝化,因为通过改变室压、惰性离子的浓度、反应性物质的浓度、等离子体功率、等离子体频率、温度和暴露时间来控制工艺条件,使得几乎没有或没有横向蚀刻或底切。所公开的实施方式还减少负载效应或微负载,因为控制工艺条件以在衬底的表面处维持自限制反应。虽然由于涉及在各种尺寸的特征中的反应性物质和惰性离子的连续蚀刻和扩散速率的过程,可能存在一些负载效应,但可以通过脉冲偏置和控制暴露的持续时间以平衡在较大和较小的临界尺寸特征之间的蚀刻速率来控制蚀刻过程。
图1示出了描绘与根据本公开的原子层蚀刻的讨论相关的能量阈值E1、E2和E3的能量图。基于三个能量阈值选择用于执行所公开的实施方式的蚀刻化学和工艺条件:(1)E1,从材料表面去除改变材料所需的能量阈值;(2)E2,基于该能量阈值,惰性离子具有足够的能量以轰击或溅射到待蚀刻材料(或待蚀刻材料下方的材料)的表面上,从而导致物理移除衬底上的材料;和任选地(3)E3,基于该能量阈值,惰性离子具有足够能量以轰击或溅射到目标层上的掩模层的表面上,从而导致掩模材料的物理移除。图1是描绘其中公开的实施方式能够执行以利用自限制蚀刻的优点同时减少对衬底的损害和保持蚀刻选择性的区域(在E1和E2之间)的能量图。
选择工艺条件和蚀刻化学物质,使得E1小于E2,并且如果待蚀刻的材料在图案化掩模下方(与为覆盖层的待蚀刻材料相对),则工艺条件和蚀刻化学物质也被选择为使得E1小于E2且小于E3。选择这样的能量阈值以确保惰性离子具有足够的能量以从表面去除改变材料(能量必须大于E1),但惰性离子不溅射待蚀刻材料的表面(能量必须小于E2),并且在蚀刻图案化衬底的情况下,惰性离子不溅射或对掩模造成损害(能量必须小于E3)。
所公开的实施方式还可以应用于许多不同且复杂的蚀刻化学物质,如果没有使用所选择的化学物质的自发蚀刻并且保持上述能量阈值的话。
在一些实施方式中,使用相对高压的等离子体。室的压强可以在约30mTorr至约1000mTorr之间,例如约100mTorr至500mTorr或约200mTorr至300mTorr。该高压等离子体包括高浓度的惰性物质(例如He,Ne,Ar,Kr,Xe或其组合)和低浓度的反应性物质(例如含F物质,含Cl物质,含Br物质,含O物质)。在标准等离子体条件下,惰性气体通常产生比其他分子气体更多的离子。此外,高浓度的惰性物质可以确保大多数离子(例如>99%)是非反应性的,并且反应性离子密度是可忽略的。
在高压等离子体中,来自反应性物质的自由基或化学反应性分子仍足以在目标材料上进行充分的化学吸附。化学物质被选择为在表面上具有化学吸附但没有足够的反应性用于没有离子辅助的自发蚀刻。离子能量(惰性物质)被设定值,该值足够高以在化学反应性物质吸收之后活化表面但不足以用于物理溅射,类似于循环的ALE。连续地输送等离子体,使得源功率和偏置功率都连续接通。蚀刻是自限制性的,因为当惰性离子在相同位置处遇到化学吸附的改变层时发生蚀刻。选择工艺条件,使得仅在表面上的惰性离子或化学吸附的改变材料不足以蚀刻材料。在各种实施方式中,蚀刻是各向异性地执行的。由于利用偏置传送到衬底的惰性离子的方向性,可以实现各向异性蚀刻。在各种实施方式中,蚀刻也是选择性的。
图2A-B提供了根据所公开的实施方式的具有经历各种操作的特征的衬底的示例性示意图。图2示出了包括下伏层201和要用上覆掩模207蚀刻的目标材料层205的衬底。使连续等离子体流动,将衬底暴露于被选择以改变目标材料的反应性物质的等离子体和用于在自限制反应中去除改变材料的惰性离子的等离子体。用于使用惰性离子去除由反应性物质改变的材料层的能量阈值小于使用惰性离子溅射材料在衬底上的能量阈值。用于使用惰性离子去除由反应性物质改变的材料层的能量阈值也小于使得惰性离子具有足够能量以轰击或溅射到目标层上的掩模层的表面上的能量阈值,从而导致物理去除掩模材料。
良好控制的蚀刻前沿允许反应自由基和惰性离子的协同效应以执行蚀刻,使得当衬底被反应性自由基改变并且通过惰性离子去除时蚀刻材料,而当材料被反应性自由基改变但没有惰性离子存在时或者当存在惰性离子但材料没有被反应性自由基改变时不蚀刻材料。该工艺允许受控的自限制各向异性蚀刻,而不在用于蚀刻衬底上的材料的化学物质之间交替。所得的衬底描绘在图2B中。注意,掩模207保持良好的轮廓,而没有掩模损失,使得掩模207的侧壁207a仍然是垂直的。另外,经蚀刻的目标层215具有基本上垂直的侧壁215a。
本文所述的方法可以涉及例如在图3的工艺流程中描述的以下描述的操作。在一个操作(301)中,可以将反应性物质化学吸附到在衬底上的待蚀刻材料的表面上。反应性物质可以构成由等离子体产生的反应性自由基或其它化学物质,并且取决于被蚀刻的材料的类型。使用公开的实施方式的待蚀刻材料的类型包括含碳材料、含硅材料和含金属材料。可使用所公开的实施例蚀刻的含碳材料的一个实例是无定形碳。可使用所公开的实施方式蚀刻的含硅材料的实例包括硅、多晶硅、硅锗、氧化硅、碳化硅、氮化硅、掺杂碳化硅、掺杂硅及其组合。可以使用公开的实施方式蚀刻的含金属材料的实例包括如钨和钛之类的元素金属、如氧化钛和金属氮化物之类的金属氧化物。
例如,对于蚀刻含碳材料,反应性物质可以包括含氧等离子体、含氟等离子体、含氯等离子体、含溴等离子体或其组合。实例包括Cl2和HBr。例如,在一些实施方式中,可以使用所公开的实施方式在小于约50℃例如在约20℃的温度下蚀刻基于碳的材料。
对于蚀刻主要是硅的材料,例如硅锗或多晶硅,反应性物质可以包括含氟等离子体,含氯等离子体,含溴等离子体或其组合。实例包括Cl2和HBr。对于蚀刻氧化硅,反应性物质可以包括碳氟化合物,例如CxFy,其中x和y是整数,或CxHyFz,其中x、y和z是根据要蚀刻的材料选择的整数。例如,在一些实施方式中,可以使用所公开的实施方式在小于约100℃例如在约40℃的温度下蚀刻基于硅的材料。
在一些实施方式中,反应性物质可用于蚀刻衬底上的基于金属的材料。对于蚀刻作为金属氧化物(例如氧化钛)的材料,反应性物质可以包括含卤素的等离子体,例如含氟等离子体、含溴等离子体和含氯等离子体。使用含氯等离子体蚀刻金属氧化物的一个实例包括将衬底暴露于气体(例如Cl2),并点燃等离子体。蚀刻金属氧化物的方法可以在比用于蚀刻含硅或含碳材料的方法更高的温度下进行。例如,在一些实施方式中,可以使用所公开的实施方式在大于约80℃,例如在约120℃的温度下蚀刻基于金属的材料(例如金属氧化物)。应当理解,这里所指的衬底温度或温度表示保持衬底的基座可被设置的温度。
选择用于化学吸附到待蚀刻材料的表面上的反应性物质不会自发地蚀刻衬底上的材料。在各种实施方式中,反应性物质被选定为也不蚀刻衬底表面上的任何掩模或图案。当带能量的惰性离子到达经化学吸附的或经改变的层时,化学吸附层随后获得足够的能量以活化表面并形成非挥发性副产物,该非挥发性副产物可以之后在蚀刻操作期间被从其中容纳衬底的室中除去。
在一些实施方式中,当衬底暴露于反应性物质时,衬底也暴露于惰性离子(303)。在各种实施方式中,惰性离子可以以高浓度流入容纳衬底的室,以稀释反应性物质的存在并维持自限制蚀刻工艺。惰性离子可以具有高电离速率。实例包括从He、Ne、Ar、Kr、Xe或其组合产生的离子。在一些实施方式中,通过在高压(例如约30mTorr至约1000mTorr之间)下操作来控制惰性离子与反应性物质的比率。在高压下操作确保了从反应性物质产生的足够的反应性分子和自由基,同时抑制惰性离子的总离子密度,以防止由惰性离子对衬底的损害。在各种实施方式中,偏置电压被设置为使得惰性离子将蚀刻材料的离子能量大于用于移除化学吸附层的材料的活化能量,并且同时这两种能量都低于利用惰性离子的目标材料的物理溅射能量,如上文关于图1所述。
不受特定理论的约束,认为待蚀刻材料的表面上的反应性物质可以找到位点并通过表面吸附多达几个原子层。吸附的层不具有足够的能量来克服表面能(例如,它将不会从衬底蚀刻),直到离子激活局部位点。一旦惰性离子激活局部位点,激活的位点形成非挥发性副产物,其然后可以扩散到室中并被泵出。然而,如果离子到达没有吸收反应化学物质的表面,则其将不具有足够的能量来物理溅射衬底,因此防止了对衬底的损害。因此,蚀刻可以以连续方式进行,同时仍然保持自限制反应以控制蚀刻轮廓。在蚀刻期间,反应性离子密度保持在非常低的密度(即小于总离子密度的约1%),并且等离子体能量也非常低。然后,蚀刻行为可以由惰性离子支配,允许惰性离子激活经改变的表面并且当该表面被反应性物质改变时蚀刻材料。
所公开的实施方式的一个优点是由于气体连续流动而不在气体之间切换(如在循环ALE中执行的),因此吞吐效率提高。另一个优点是所公开的实施方式可以容易地通过几个等离子体参数(诸如偏置电压、等离子体功率、等离子体频率、气体流速和浓度以及室压强)来控制。所公开的实施方式产生快速且良好控制的等离子体,其可用于实现低损害和高选择性的蚀刻。
此外,存在某些情况(如高纵横比蚀刻),其中自由基扩散成为蚀刻速率、纵横比相关蚀刻或通间距加载(through-pitch loading)的主要因素。对于这些应用,一些实施方式可以将偏置脉冲与较高压强和低反应浓度等离子体组合。
例如,对于蚀刻高纵横比特征,蚀刻室中的气体混合物可以保持具有低反应离子浓度以用于化学吸附。控制工艺条件以确保足够的时间用于气体扩散(其可以在从微秒(μs)到秒的范围内)。偏置电压可以仅在扩散时间足以到达高深宽比特征的底部以形成化学吸附层之后才开启。可以使用短电压脉冲来传送离子以去除该层,并且对于高纵横比蚀刻,可以重复许多次扩散去除循环。因为根据本公开的去除能量阈值技术,离子能量低,使得只有化学吸附层可以被离子去除,而在常规蚀刻中,反应性离子蚀刻是主要的,并且通常需要更高的离子能量,与使用高电压的常规蚀刻相比,执行所公开的使用偏置脉冲的实施方式导致在选择性方面的实质性改善。
所公开的气体混合概念可以扩展到更复杂的蚀刻机制和化学。例如,一些CxFy或CxHyFz蚀刻化学物质或包含蚀刻剂和沉积物质的化学物质将涉及特定活化能量以蚀刻目标材料。具有反应性离子的高电压有助于蚀刻速率,但它也对衬底造成更多的损害,降低掩模选择性,并且有时导致由离子散射引起的侧壁侵蚀。然而,具有低能量的惰性离子可以克服一些关键的挑战,因为当离子能量低于溅射阈值时,不发生离子损害。如果离子散射发生,一些能量通常损失,并且可能不能再激活侧壁表面。使用所公开的实施方式的各向异性蚀刻可以具有对掩模材料的无限选择性。这可以应用于双重图案化应用中的核心蚀刻,以及许多其它图案化和栅极蚀刻应用,诸如FinFET结构、逻辑门和3D NAND结构的制造。
装置
所公开的实施方式可以在任何合适的蚀刻室或装置中执行,诸如可从加利福尼亚的费里蒙特(Fremont,CA)的朗姆研究公司(Lam Research Corporation)获得的FX。在一些实施方式中,可以使用电感耦合等离子体(ICP)反应器。这种ICP反应器也已在于2013年12月10日提交的题为“IMAGE REVERSAL WITH AHM GAP FILL FOR MULTIPLEPATTERNING”的美国专利申请公开No.2014/0170853中进行描述,该专利文件通过引用并入本文,用于描述用于实施本文所述技术的合适的ICP反应器。虽然本文描述了ICP反应器,但在一些实施方式中,应当理解,也可以使用电容耦合等离子体反应器。示例性蚀刻室或装置可以包括:具有室壁的室;用于保持待处理的衬底或晶片的卡盘,其可以包括用于夹持和解开晶片的静电电极,并且可以使用RF电源对其进行充电;被配置为向线圈供应功率以产生等离子体的RF电源;和如本文所述的用于输入气体的气体流入口。在一些实施方式中,装置可以包括多于一个的室,每个室可以用于蚀刻、沉积或处理衬底。室或装置可以包括系统控制器,用于控制室或装置的一些或所有操作,例如调节室压强、惰性气体流量、等离子体功率、等离子体频率、反应气体流量(例如,含氯气体,含氧气体,含氟气体等);偏置功率、温度、真空设置;和其他工艺条件。
装置
现在描述在某些实施方式中适用于原子层蚀刻(ALE)操作的电感耦合等离子体(ICP)反应器。这样的ICP反应器也已经在于2013年12月10日提交的名称为“IMAGEREVERSAL WITH AHM GAP FILL FOR MULTIPLE PATTERNING”的美国专利申请公开No.2014/0170853中描述,其通过引用被整体并入本文并用于所有目的。虽然本文描述了ICP反应器,但在一些实施方式中,应当理解,也可以使用电容耦合等离子体反应器。
图4示意性地示出了适于实施本文中的某些实施方式的电感耦合等离子体集成蚀刻和沉积装置400的横截面图,该装置的示例是从加利福尼亚的费里蒙特(Fremont,CA)的朗姆研究公司(Lam Research Corp.)生产的反应器。电感耦合等离子体装置400包括在结构上由室壁401和窗口411限定的总处理室424。室壁401可以由不锈钢或铝制成。窗口411可以由石英或其他电介质材料制成。可选的内部等离子体栅格450将整个处理室424分成上子室402和下子室403。在大多数实施方式中,等离子体栅格450可以被移除,从而利用由子室402和403构成的室空间。卡盘417位于下子室403内,靠近底部内表面。卡盘417被配置为接收并保持在其上执行蚀刻和沉积工艺的半导体衬底或晶片419。卡盘417可以是用于在存在晶片时支撑晶片419的静电卡盘。在一些实施方式中,边缘环(未示出)围绕卡盘417,并且具有当存在于卡盘417上方时与晶片419的顶表面近似成平面的上表面。卡盘417还包括用于夹持和解开晶片419的静电电极。可以为此目的提供滤波器和DC钳位电源(未示出)。还可以提供用于将晶片419从卡盘417提升的其它控制系统。卡盘417可以使用RF电源423来充电。RF电源423通过连接件427连接到匹配电路422。匹配电路421通过连接件425连接到卡盘417。以这种方式,RF电源423被连接到卡盘417。
用于等离子体产生的元件包括位于窗口411上方的线圈433。在一些实施方式中,在所公开的实施方式中不使用线圈。线圈433由导电材料制成并且包括至少一个完整的匝。图4所示的线圈433的示例包括三匝。线圈433的横截面用符号示出,具有“X”的线圈旋转地延伸到页面中,而具有“●”的线圈旋转地延伸出页面。用于等离子体产生的元件还包括被配置为向线圈433提供RF功率的RF电源441。通常,RF电源441通过连接件445连接到匹配电路439。匹配电路439通过连接件443连接到线圈433。以这种方式,RF电源441被连接到线圈433。可选的法拉第屏蔽449定位在线圈433和窗口411之间。法拉第屏蔽449被保持在相对于线圈433间隔开的关系。法拉第屏蔽449设置在窗口411的正上方。线圈433、法拉第屏蔽449和窗口411分别被配置为基本上彼此平行。法拉第屏蔽449可以防止金属或其他物质沉积在处理室424的窗口411上。
工艺气体(例如反应性物质或前体、还原剂、载气、含卤素气体、氯气、诸如氦气、氩气之类的惰性气体等)可以通过位于上子室402中的一个或多个主气流入口460和/或通过一个或多个侧气流入口470流入处理室。同样,尽管未明确示出,但是类似的气流入口可以用于向电容耦合等离子体处理室提供工艺气体。可以使用真空泵440(例如,一级或两级机械干式泵和/或涡轮分子泵)将工艺气体抽出处理室424并且保持处理室424内的压强。例如,真空泵440可以用于在ALE的吹扫操作期间排空下子室403。阀控制管道可以用于将真空泵流体连接到处理室424,以便选择性地控制由真空泵提供的真空环境的施加。这可以在操作等离子体处理期间采用闭环控制的诸如节流阀(未示出)或摆动阀(未示出)之类的流量限制装置来完成。同样,也可以采用受控与电容耦合等离子体处理室的流体连接的真空泵和阀。
在装置400的操作期间,可以通过气流入口460和/或470供应一种或多种工艺气体。在某些实施方式中,工艺气体可以仅通过主气流入口460供应,或者仅通过侧气流入口470供应。在一些情况下,图中所示的气流入口可由例如更复杂的气流入、一个或多个喷头来替代。法拉第屏蔽449和/或可选栅格450可以包括允许处理气体输送到处理室424的内部通道和孔。法拉第屏蔽449和可选栅格450中的任一个或两者可以用作用于输送处理气体的喷头。在一些实施方式中,液体蒸发和输送系统可以位于处理室424的上游,使得一旦液体反应物或前体被蒸发,则蒸发的反应物或前体经由气流入口460或470被引入到处理室424中。
从RF电源441向线圈433提供射频功率,以使RF电流流过线圈433。流过线圈433的RF电流在线圈433周围产生电磁场。该电磁场在上子室402内产生感应电流。各种所产生的离子和自由基与晶片419的物理和化学相互作用蚀刻晶片419上的特征和沉积层。
挥发性蚀刻和/或沉积副产物可以通过端口422从下子室403移除。本文公开的卡盘417可以在约10℃至约250℃之间范围内的升高温度下操作。温度将取决于工艺操作和具体配方。
当装置400安装在洁净室或制造设施中时,装置400可以连接到设施(未示出)。该设施包括提供处理气体、真空、温度控制和环境颗粒控制的管道。当这些设施安装在目标制造设施中时,这些设施连接到装置400。另外,装置400可连接到传送室,该传送室允许机械臂使用典型的自动化将半导体晶片传送到装置400中和从装置400传出。
在一些实施方式中,系统控制器430(其可以包括一个或多个物理或逻辑控制器)控制处理室424的操作中的一些或全部。系统控制器430可以包括一个或多个存储器装置和一个或多个更多处理器。例如,存储器可以包括:在诸如含氯改变化学物质和诸如氩之类的去除气体的流之间进行交替的指令,或者用于点燃等离子体或施加偏置的指令。例如,存储器可以包括在一些操作期间将偏置设置在约0V和约200V之间的功率的指令。在一些实施方式中,装置400包括用于当执行所公开的实施方式时控制流速和持续时间的切换系统。在一些实施方式中,装置400可以具有高达约500ms或高达约750ms的切换时间。开关时间可以取决于流动的化学物质、所选择的配方、反应器结构和其它因素。
在一些实施方式中,所公开的实施方式可以集成在MSSD(Multi-Station-Sequential-Deposition,多站顺序沉积)室结构上,其中沉积站中的一个可以由ALE站替代,以允许使用类似化学物质的集成的沉积/蚀刻/沉积处理,用于更好的填充和更快的吞吐能力。
在一些实施方式中,系统控制器430是系统的一部分,其可以是上述示例的一部分。这样的系统可以包括半导体处理装置,其包括一个或多个处理工具、一个或多个室、一个或多个平台和/或具体的处理组件(晶片基座、气流系统等)。这些系统可以与用于控制它们在处理半导体晶片或衬底之前、期间和之后的操作的电子器件一体化。电子器件可以集成到系统控制器430中,其可以控制系统的各种部件或子部件。取决于处理参数和/或系统类型,系统控制器430可以被编程以控制本文公开的任何工艺,包括工艺气体的传送、温度设置(例如,加热和/或冷却)、压强设置、真空设置、功率设置、射频(RF)发生器设置、RF匹配电路设置、频率设置、流量设置、流体输送设置、位置和操作设置、晶片转移进出工具和其它转移工具和/或与具体系统连接或通过接口连接的装载锁。
概括地说,接收指令、发布指令、控制操作、启用清洁操作、启用端点测量等等的具有各种集成电路、逻辑、存储器和/或软件的电子器件。集成电路可以包括存储程序指令的固件形式的芯片、数字信号处理器(DSP)、定义为专用集成电路(ASIC)的芯片和/或一个或多个微处理器或执行程序指令(例如,软件)的微控制器。程序指令可以是以各种单独设置(或程序文件)的形式通信到控制器的指令,该设置定义用于在半导体晶片或系统上或针对半导体晶片或系统执行特定过程的操作参数。在一些实施方式中,操作参数可以是由工艺工程师定义的用于在制备或晶片的一个或多个(种)层、材料、金属、氧化物、硅、二氧化硅、表面、电路和/或管芯期间完成一个或多个处理步骤的配方(recipe)的一部分。
在一些实现方式中,系统控制器430可以是与系统集成、耦合或者说是通过网络连接系统或它们的组合的计算机的一部分或者与该计算机耦合。例如,控制器可以在“云”中或者是fab主机系统的全部或一部分,其可以允许远程访问晶片处理。计算机可以启用对系统的远程访问以监测制造操作的当前进程,检查过去的制造操作的历史,检查多个制造操作的趋势或性能标准,改变当前处理的参数,设置处理步骤以跟随当前的处理或者开始新的工艺。在一些实例中,远程计算机(例如,服务器)可以通过网络给系统提供工艺配方,网络可以包括本地网络或互联网。远程计算机可以包括能够输入或编程参数和/或设置的用户界面,该参数和/或设置然后从远程计算机通信到系统。在一些示例中,系统控制器430接收数据形式的指令,该指令指明在一个或多个操作期间将要执行的每个处理步骤的参数。应当理解,参数可以针对将要执行的工艺类型以及工具类型,控制器被配置成连接或控制该工具类型。因此,如上所述,系统控制器430可以例如通过包括一个或多个分立的控制器而分布,这些分立的控制器通过网络连接在一起并且朝着共同的目标(例如,本文所述的工艺和控制)工作。用于这些目的的分布式控制器的实例可以是与结合以控制室上的工艺的一个或多个远程集成电路(例如,在平台水平或作为远程计算机的一部分)通信的室上的一个或多个集成电路。
在非限制性的条件下,示例的系统可以包括等离子体蚀刻室或模块、沉积室或模块、旋转清洗室或模块、金属电镀室或模块、清洁室或模块、倒角边缘蚀刻室或模块、物理气相沉积(PVD)室或模块、化学气相沉积(CVD)室或模块、原子层沉积(ALD)室或模块、原子层蚀刻(ALE)室或模块、离子注入室或模块、轨道室或模块、以及在半导体晶片的制备和/或制造中可以关联上或使用的任何其它的半导体处理系统。
如上所述,根据工具将要执行的一个或多个工艺步骤,控制器可以与一个或多个其它的工具电路或模块、其它工具组件、群集工具、其它工具界面、相邻的工具、邻接工具、位于整个工厂中的工具、主机、另一个控制器、或者在将晶片的容器往来于半导体制造工厂中的工具位置和/或装载口搬运的材料搬运中使用的工具通信。
图5描绘了具有与真空传输模块538(VTM)接口的各种模块的半导体处理集群架构。在多个存储设施和处理模块之间“传送”晶片的传送模块的布置可以被称为“群集工具架构”系统。气锁530(也称为负载锁或传送模块)示出于VTM 538中,具有四个处理模块520a-520d,其可以被单独优化以执行各种制造过程。作为示例,处理模块520a-520d可以被实现为执行衬底蚀刻、沉积、离子注入、晶片清洗、溅射和/或其它半导体工艺。在根据本公开的一些实施方式中,在同一模块中执行利用反应性物质的改变和用于材料蚀刻和去除的暴露于惰性离子。气锁530和处理模块520可以被称为“站”。每个站具有将站与VTM 538连接的小组件(facet)536。在每个小组件内,传感器1-18用于检测晶片526在各个站之间移动时晶片526的通过。
机械臂522在站之间传送晶片526。在一个实施方式中,机械臂522具有一个臂,并且在另一个实施方式中,机械臂522具有两个臂,其中每个臂具有末端执行器524,以拾取晶片(例如晶片526)以用于运输。在大气传送模块(ATM)540中的前端机械臂532用于将晶片526从装载端口模块(LPM)542中的盒或前开口统一盒(FOUP)534传送到气锁530。在处理模块520内的模块中心528是用于放置晶片526的一个位置。ATM 540中的对准器544用于对准晶片。
在示例性处理方法中,晶片被放置在LPM 542中的FOUP 534中的一个内。前端机械臂532将晶片从FOUP 534传送到对准器544,这使得晶片526能在其被蚀刻或处理之前适当地居中。在对准之后,晶片526被前端机械臂532移动到气锁(airlock)530中。由于气锁模块具有匹配ATM和VTM之间的环境的能力,晶片526能够在两个压强环境之间移动而不被损坏。从气锁模块530,晶片526通过机械臂522通过VTM 538移动到处理模块520a-520d中的一个中。为了实现这种晶片移动,机械臂522在其每个臂上使用端部执行器524。一旦晶片526已经被处理,其被机械臂522从处理模块520a-520d移动到气锁模块530。从此处,晶片526可以由前端机械臂532移动到FOUP 534中的一个或者移动到对准器544。
应当注意,控制晶片移动的计算机可以是集群架构的本地,或者可以位于制造车间中的集群架构外部,或者位于远程位置并且经由网络连接到集群架构。上面关于图4描述的控制器可以利用图5中的工具来实现。
实验
实验1
图6示出了各种惰性气体的离子密度和压强的参考数据的图。通过使气体在不同压强下流入具有简单惰性或反应性气体的蚀刻工具中来获得数据。示出了对于Ar、He、Cl2、HBr、O2、N2和CF4的200sccm流的标准化的离子密度与压强,等离子体功率示出为1500W。如图所示,对于许多气体,离子密度随着较高压强而降低,并且Ar或He产生比其它分子气体高得多的离子密度。
实验2
对包括下伏层和具有上覆掩模的目标含碳层的衬底进行实验。将衬底容纳在具有200mTorr的室压强的室中。将衬底暴露于使用1500sccm的氦气和5sccm的O2在20℃的温度下使用设定为1500W的电感耦合等离子体功率同时施加50Vb的偏置产生的等离子体持续30秒。该工艺蚀刻35.73nm的碳层,并且经蚀刻的含碳目标层具有基本上竖直的侧壁。另外,掩模保持良好的轮廓而没有掩模损失,使得掩模的侧壁保持竖直。
实验3
对包括在衬底上的含碳层中的氧化钛间隔物的衬底进行实验。将衬底容纳在具有200mTorr的室压强的室中。将衬底暴露于使用1500sccm的氦气和10sccm Cl2的混合物在120℃的温度下使用设定为1500W的电感耦合等离子体功率同时施加50Vb的偏置产生的等离子体持续100秒。在此工艺中各向异性地蚀刻氧化钛间隔物,且所得衬底保持良好的轮廓而没有掩模损失或侧壁蚀刻,使得掩模的侧壁保持竖直且没有观察到底切(undercut)。
实验4
在具有上覆光致抗蚀剂掩模的基于硅的衬底上进行实验。将衬底容纳在具有250mTorr的室压强的室中。将衬底暴露于使用1500sccm的氦气和20sccm的CF4在40℃的温度下使用设定为1000W的电感耦合等离子体功率同时施加50Vb的偏置产生的等离子体下持续10秒。在该工艺中各向异性地蚀刻衬底中的特征,并且所得衬底保持良好的轮廓而没有侧壁蚀刻,使得蚀刻特征和掩模的侧壁保持竖直并且没有观察到底切。
结论
虽然为了清楚理解的目的已经相当详细地描述了前述实施方式,但是显而易见的是,可以在所附权利要求的范围内实施某些改变和修改。应当注意,存在实现本发明的实施方式的工艺、系统和装置的许多替代方式。因此,本发明的实施方式被认为是说明性的而不是限制性的,并且实施方式不限于本文所给出的细节。

Claims (10)

1.一种蚀刻衬底的材料的方法,所述方法包括:
将处理室中的衬底暴露于由反应性物质产生的等离子体和由惰性离子气体产生的等离子体,以使用自限制反应去除所述材料,
其中用于使用所述惰性离子气体去除由所述反应性物质改变的所述材料的层的能量阈值小于使用所述惰性离子气体溅射在所述衬底上的所述材料的能量阈值。
2.根据权利要求1所述的方法,其中所述衬底暴露于所述反应性物质改变经暴露的衬底材料,并且由所述等离子体产生的惰性离子去除经改变的衬底材料,从而蚀刻所述衬底材料。
3.根据权利要求1所述的方法,其中,在所述衬底暴露于所述反应性物质和惰性离子期间,所述等离子体被连续地输送,使得在所述蚀刻期间源功率和偏置功率都连续地导通。
4.根据权利要求3所述的方法,其中在所述蚀刻期间存在于所述处理室中的惰性气体的浓度大于所述室中所有化学物质的99%,而反应性物质的浓度小于约1%。
5.根据权利要求1所述的方法,其中,掩模层覆盖待蚀刻的所述衬底的所述材料,并且用于使用所述惰性离子气体去除由所述反应物质改变的所述材料的层的能量阈值小于使得惰性离子具有足够能量以轰击或溅射在目标层上的掩模层的表面上的能量阈值,从而导致掩模材料的物理去除。
6.根据权利要求1所述的方法,其中处理室压强在约30mTorr和约1000mTorr之间。
7.根据权利要求6所述的方法,其中处理室压强在约100mTorr和约500mTorr之间。
8.根据权利要求7所述的方法,其中处理室压强在约200mTorr和约300mTorr之间。
9.根据权利要求1所述的方法,其中具有反应性以蚀刻所述衬底上的未经改变的材料的反应性离子的离子密度是可忽略的。
10.根据权利要求1所述的方法,其中,待蚀刻的衬底的所述材料是基于碳的。
CN201710066218.0A 2016-02-05 2017-02-06 连续等离子体中的原子层蚀刻 Active CN107045977B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US201662292115P 2016-02-05 2016-02-05
US62/292,115 2016-02-05
US201662322135P 2016-04-13 2016-04-13
US62/322,135 2016-04-13
US15/421,189 US9991128B2 (en) 2016-02-05 2017-01-31 Atomic layer etching in continuous plasma
US15/421,189 2017-01-31

Publications (2)

Publication Number Publication Date
CN107045977A true CN107045977A (zh) 2017-08-15
CN107045977B CN107045977B (zh) 2020-06-30

Family

ID=59496294

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201710066218.0A Active CN107045977B (zh) 2016-02-05 2017-02-06 连续等离子体中的原子层蚀刻

Country Status (4)

Country Link
US (1) US9991128B2 (zh)
KR (1) KR20170093718A (zh)
CN (1) CN107045977B (zh)
TW (1) TWI726989B (zh)

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107946311A (zh) * 2017-11-21 2018-04-20 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
CN112366135A (zh) * 2020-10-26 2021-02-12 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
CN113039634A (zh) * 2018-11-15 2021-06-25 东京毅力科创株式会社 用于使用自限制和溶解度受限反应的原子层湿法蚀刻的加工系统和平台
CN117613003A (zh) * 2024-01-23 2024-02-27 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Families Citing this family (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) * 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
US10727073B2 (en) 2016-02-04 2020-07-28 Lam Research Corporation Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
US10229837B2 (en) 2016-02-04 2019-03-12 Lam Research Corporation Control of directionality in atomic layer etching
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10559461B2 (en) 2017-04-19 2020-02-11 Lam Research Corporation Selective deposition with atomic layer etch reset
US9997371B1 (en) 2017-04-24 2018-06-12 Lam Research Corporation Atomic layer etch methods and hardware for patterning applications
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10494715B2 (en) 2017-04-28 2019-12-03 Lam Research Corporation Atomic layer clean for removal of photoresist patterning scum
US10796912B2 (en) 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
JP2019036655A (ja) * 2017-08-18 2019-03-07 ソニーセミコンダクタソリューションズ株式会社 エッチング方法およびエッチング加工装置
US10763083B2 (en) 2017-10-06 2020-09-01 Lam Research Corporation High energy atomic layer etching
JP6833657B2 (ja) * 2017-11-07 2021-02-24 東京エレクトロン株式会社 基板をプラズマエッチングする方法
KR102642011B1 (ko) 2018-03-30 2024-02-27 램 리써치 코포레이션 내화성 금속들 및 다른 고 표면 결합 에너지 재료들의 원자 층 에칭 및 평활화 (smoothing)
KR102592922B1 (ko) * 2018-06-21 2023-10-23 삼성전자주식회사 기판 처리 장치, 신호 소스 장치, 물질막의 처리 방법, 및 반도체 소자의 제조 방법
WO2020223152A1 (en) * 2019-04-29 2020-11-05 Lam Research Corporation Atomic layer etching for subtractive metal etch
CN113140458B (zh) * 2020-01-17 2024-03-01 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
US11302545B2 (en) * 2020-03-20 2022-04-12 Nanya Technology Corporation System and method for controlling semiconductor manufacturing equipment
US11675340B2 (en) 2020-04-08 2023-06-13 Nanya Technology Corporation System and method for controlling semiconductor manufacturing apparatus
KR102548989B1 (ko) 2020-04-29 2023-06-29 에이아이벤자민 주식회사 인공지능 기반의 금융서비스 제공방법
WO2023183199A1 (en) * 2022-03-22 2023-09-28 Lam Research Corporation High energy atomic layer etch of a carbon containing layer
CN115020173B (zh) * 2022-08-10 2022-10-28 江苏邑文微电子科技有限公司 电感耦合等离子体刻蚀系统及其刻蚀控制方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101631894A (zh) * 2006-12-08 2010-01-20 瓦里安半导体设备公司 原子层沉积的技术
US20130168354A1 (en) * 2011-12-28 2013-07-04 Keren Jacobs Kanarik Mixed mode pulsing etching in plasma processing systems
CN103748658A (zh) * 2011-07-20 2014-04-23 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS5416280B2 (zh) 1971-12-30 1979-06-21
JPH061769B2 (ja) 1983-08-10 1994-01-05 株式会社日立製作所 アルミナ膜のパターニング方法
US4756794A (en) 1987-08-31 1988-07-12 The United States Of America As Represented By The Secretary Of The Navy Atomic layer etching
DE4241045C1 (de) 1992-12-05 1994-05-26 Bosch Gmbh Robert Verfahren zum anisotropen Ätzen von Silicium
JPH06326060A (ja) 1993-05-12 1994-11-25 Hitachi Ltd 固体表面加工方法
US5482802A (en) 1993-11-24 1996-01-09 At&T Corp. Material removal with focused particle beams
US6022806A (en) 1994-03-15 2000-02-08 Kabushiki Kaisha Toshiba Method of forming a film in recess by vapor phase growth
US5527425A (en) 1995-07-21 1996-06-18 At&T Corp. Method of making in-containing III/V semiconductor devices
JPH0945670A (ja) 1995-07-29 1997-02-14 Hewlett Packard Co <Hp> Iii族−n系結晶の気相エッチング方法および再成長方法
US5789265A (en) 1995-08-31 1998-08-04 Kabushiki Kaisha Toshiba Method of manufacturing blue light-emitting device by using BCL3 and CL2
KR20010034127A (ko) 1998-01-13 2001-04-25 조셉 제이. 스위니 이방성 플라티늄 프로화일을 위한 에칭 방법
US6177353B1 (en) 1998-09-15 2001-01-23 Infineon Technologies North America Corp. Metallization etching techniques for reducing post-etch corrosion of metal lines
SE9903213D0 (sv) 1999-06-21 1999-09-10 Carl Fredrik Carlstroem Dry etching process of compound semiconductor materials
US8696875B2 (en) 1999-10-08 2014-04-15 Applied Materials, Inc. Self-ionized and inductively-coupled plasma for sputtering and resputtering
US6458694B2 (en) 2000-01-24 2002-10-01 Ebara Corporation High energy sputtering method for forming interconnects
JP3662472B2 (ja) 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
US20020058409A1 (en) 2000-11-16 2002-05-16 Ching-Te Lin Elimination of overhang in liner/barrier/seed layers using post-deposition sputter etch
US6448192B1 (en) 2001-04-16 2002-09-10 Motorola, Inc. Method for forming a high dielectric constant material
KR100878103B1 (ko) 2001-05-04 2009-01-14 도쿄엘렉트론가부시키가이샤 순차적 증착 및 에칭에 의한 이온화된 pvd
US7589017B2 (en) 2001-05-22 2009-09-15 Novellus Systems, Inc. Methods for growing low-resistivity tungsten film
US7005372B2 (en) 2003-01-21 2006-02-28 Novellus Systems, Inc. Deposition of tungsten nitride
US7141494B2 (en) 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7955972B2 (en) 2001-05-22 2011-06-07 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US20030015704A1 (en) 2001-07-23 2003-01-23 Motorola, Inc. Structure and process for fabricating semiconductor structures and devices utilizing the formation of a compliant substrate for materials used to form the same including intermediate surface cleaning
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
US6884730B2 (en) 2002-07-02 2005-04-26 Headway Technologies, Inc. Method of etching a film of magnetic material and method of manufacturing a thin-film magnetic head
US6933239B2 (en) 2003-01-13 2005-08-23 Applied Materials, Inc. Method for removing conductive residue
US6841484B2 (en) 2003-04-17 2005-01-11 Chentsau Ying Method of fabricating a magneto-resistive random access memory (MRAM) device
JP2004332045A (ja) 2003-05-07 2004-11-25 Renesas Technology Corp 多層膜材料のドライエッチング方法
US6844258B1 (en) 2003-05-09 2005-01-18 Novellus Systems, Inc. Selective refractory metal and nitride capping
US7341946B2 (en) 2003-11-10 2008-03-11 Novellus Systems, Inc. Methods for the electrochemical deposition of copper onto a barrier layer of a work piece
US7115522B2 (en) 2004-07-09 2006-10-03 Kabushiki Kaisha Toshiba Method for manufacturing semiconductor device
US8288828B2 (en) 2004-09-09 2012-10-16 International Business Machines Corporation Via contact structure having dual silicide layers
US7196955B2 (en) 2005-01-12 2007-03-27 Hewlett-Packard Development Company, L.P. Hardmasks for providing thermally assisted switching of magnetic memory elements
JP4860219B2 (ja) 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7214626B2 (en) 2005-08-24 2007-05-08 United Microelectronics Corp. Etching process for decreasing mask defect
US8257987B2 (en) 2006-02-02 2012-09-04 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US9230818B2 (en) 2006-02-02 2016-01-05 Trustees Of Boston University Planarization of GaN by photoresist technique using an inductively coupled plasma
US20070238301A1 (en) 2006-03-28 2007-10-11 Cabral Stephen H Batch processing system and method for performing chemical oxide removal
US7795148B2 (en) 2006-03-28 2010-09-14 Tokyo Electron Limited Method for removing damaged dielectric material
US7368393B2 (en) 2006-04-20 2008-05-06 International Business Machines Corporation Chemical oxide removal of plasma damaged SiCOH low k dielectrics
US7416989B1 (en) * 2006-06-30 2008-08-26 Novellus Systems, Inc. Adsorption based material removal process
KR101330707B1 (ko) 2007-07-19 2013-11-19 삼성전자주식회사 반도체 장치의 형성 방법
US8481423B2 (en) 2007-09-19 2013-07-09 International Business Machines Corporation Methods to mitigate plasma damage in organosilicate dielectrics
US7772114B2 (en) 2007-12-05 2010-08-10 Novellus Systems, Inc. Method for improving uniformity and adhesion of low resistivity tungsten film
EP2240968A1 (en) 2008-02-08 2010-10-20 Illumitex, Inc. System and method for emitter layer shaping
US8247030B2 (en) 2008-03-07 2012-08-21 Tokyo Electron Limited Void-free copper filling of recessed features using a smooth non-agglomerated copper seed layer
US7948044B2 (en) 2008-04-09 2011-05-24 Magic Technologies, Inc. Low switching current MTJ element for ultra-high STT-RAM and a method for making the same
US8252194B2 (en) 2008-05-02 2012-08-28 Micron Technology, Inc. Methods of removing silicon oxide
US8058170B2 (en) 2008-06-12 2011-11-15 Novellus Systems, Inc. Method for depositing thin tungsten film with low resistivity and robust micro-adhesion characteristics
US8551885B2 (en) 2008-08-29 2013-10-08 Novellus Systems, Inc. Method for reducing tungsten roughness and improving reflectivity
US8404561B2 (en) 2009-05-18 2013-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating an isolation structure
US8124531B2 (en) 2009-08-04 2012-02-28 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US8664070B2 (en) 2009-12-21 2014-03-04 Taiwan Semiconductor Manufacturing Company, Ltd. High temperature gate replacement process
KR101080604B1 (ko) 2010-02-09 2011-11-04 성균관대학교산학협력단 원자층 식각 장치 및 이를 이용한 식각 방법
US8227344B2 (en) 2010-02-26 2012-07-24 Tokyo Electron Limited Hybrid in-situ dry cleaning of oxidized surface layers
JP5416280B2 (ja) 2010-08-19 2014-02-12 株式会社アルバック ドライエッチング方法及び半導体装置の製造方法
US20130200391A1 (en) 2010-09-28 2013-08-08 North Carolina State University Gallium nitride based structures with embedded voids and methods for their fabrication
US8124505B1 (en) 2010-10-21 2012-02-28 Hrl Laboratories, Llc Two stage plasma etching method for enhancement mode GaN HFET
KR101739987B1 (ko) 2010-12-28 2017-05-26 에스케이 텔레콤주식회사 주변블록의 특징벡터를 이용한 영상 부호화/복호화 방법 및 장치
US8546263B2 (en) 2011-04-27 2013-10-01 Applied Materials, Inc. Method of patterning of magnetic tunnel junctions
US20130099277A1 (en) 2011-10-25 2013-04-25 The Regents Of The University Of California SELECTIVE DRY ETCHING OF N-FACE (Al,In,Ga)N HETEROSTRUCTURES
US9666414B2 (en) 2011-10-27 2017-05-30 Applied Materials, Inc. Process chamber for etching low k and other dielectric films
US8808561B2 (en) 2011-11-15 2014-08-19 Lam Research Coporation Inert-dominant pulsing in plasma processing systems
US20130313561A1 (en) 2012-05-25 2013-11-28 Triquint Semiconductor, Inc. Group iii-nitride transistor with charge-inducing layer
JP2014049466A (ja) 2012-08-29 2014-03-17 Tokyo Electron Ltd エッチング処理方法及び基板処理装置
JP6035117B2 (ja) 2012-11-09 2016-11-30 東京エレクトロン株式会社 プラズマエッチング方法及びプラズマエッチング装置
US9570600B2 (en) 2012-11-16 2017-02-14 Massachusetts Institute Of Technology Semiconductor structure and recess formation etch technique
JP5918108B2 (ja) 2012-11-16 2016-05-18 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US9362133B2 (en) 2012-12-14 2016-06-07 Lam Research Corporation Method for forming a mask by etching conformal film on patterned ashable hardmask
FR3000600B1 (fr) 2012-12-28 2018-04-20 Commissariat Energie Atomique Procede microelectronique de gravure d'une couche
US20140335666A1 (en) 2013-05-13 2014-11-13 The Government Of The United States Of America, As Represented By The Secretary Of The Navy Growth of High-Performance III-Nitride Transistor Passivation Layer for GaN Electronics
US9362163B2 (en) * 2013-07-30 2016-06-07 Lam Research Corporation Methods and apparatuses for atomic layer cleaning of contacts and vias
FR3017241B1 (fr) 2014-01-31 2017-08-25 Commissariat Energie Atomique Procede de gravure plasma
US9257638B2 (en) 2014-03-27 2016-02-09 Lam Research Corporation Method to etch non-volatile metal materials
US9773683B2 (en) * 2014-06-09 2017-09-26 American Air Liquide, Inc. Atomic layer or cyclic plasma etching chemistries and processes
TWI593015B (zh) 2014-07-10 2017-07-21 東京威力科創股份有限公司 基板之高精度蝕刻方法
FR3023971B1 (fr) 2014-07-18 2016-08-05 Commissariat Energie Atomique Procede de formation des espaceurs d'une grille d'un transistor
US9675811B2 (en) 2014-08-28 2017-06-13 Cardiac Pacemakers, Inc. Display of temporally aligned heart information from separate implantable medical devices on an extracorporeal display
US9520294B2 (en) 2014-08-29 2016-12-13 Applied Materials, Inc. Atomic layer etch process using an electron beam
US10381227B2 (en) 2014-12-18 2019-08-13 The Regents Of The University Of Colorado, A Body Corporate Methods of atomic layer etching (ALE) using sequential, self-limiting thermal reactions
US9576811B2 (en) 2015-01-12 2017-02-21 Lam Research Corporation Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch)
US9478433B1 (en) 2015-03-30 2016-10-25 Applied Materials, Inc. Cyclic spacer etching process with improved profile control
US9806252B2 (en) 2015-04-20 2017-10-31 Lam Research Corporation Dry plasma etch method to pattern MRAM stack
US9870899B2 (en) 2015-04-24 2018-01-16 Lam Research Corporation Cobalt etch back
SG10201604524PA (en) 2015-06-05 2017-01-27 Lam Res Corp ATOMIC LAYER ETCHING OF GaN AND OTHER III-V MATERIALS
US9449843B1 (en) 2015-06-09 2016-09-20 Applied Materials, Inc. Selectively etching metals and metal nitrides conformally
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US10096487B2 (en) 2015-08-19 2018-10-09 Lam Research Corporation Atomic layer etching of tungsten and other metals
US9984858B2 (en) 2015-09-04 2018-05-29 Lam Research Corporation ALE smoothness: in and outside semiconductor industry
KR102451098B1 (ko) 2015-09-23 2022-10-05 삼성전자주식회사 자기 메모리 장치 및 이의 제조 방법
KR20170050056A (ko) 2015-10-29 2017-05-11 삼성전자주식회사 반도체 소자의 패턴 형성 방법
US10269566B2 (en) 2016-04-29 2019-04-23 Lam Research Corporation Etching substrates using ale and selective deposition
US9837312B1 (en) 2016-07-22 2017-12-05 Lam Research Corporation Atomic layer etching for enhanced bottom-up feature fill

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101631894A (zh) * 2006-12-08 2010-01-20 瓦里安半导体设备公司 原子层沉积的技术
CN103748658A (zh) * 2011-07-20 2014-04-23 朗姆研究公司 使用从惰性气体形成的亚稳态体的原子层蚀刻
US20130168354A1 (en) * 2011-12-28 2013-07-04 Keren Jacobs Kanarik Mixed mode pulsing etching in plasma processing systems

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107946311A (zh) * 2017-11-21 2018-04-20 长江存储科技有限责任公司 控制3d nand闪存结构中沟道关键尺寸的方法
CN113039634A (zh) * 2018-11-15 2021-06-25 东京毅力科创株式会社 用于使用自限制和溶解度受限反应的原子层湿法蚀刻的加工系统和平台
CN112366135A (zh) * 2020-10-26 2021-02-12 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
CN112366135B (zh) * 2020-10-26 2024-06-21 北京北方华创微电子装备有限公司 一种硅原子层刻蚀方法
CN117613003A (zh) * 2024-01-23 2024-02-27 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件
CN117613003B (zh) * 2024-01-23 2024-04-16 合肥晶合集成电路股份有限公司 半导体器件的制作方法以及半导体器件

Also Published As

Publication number Publication date
KR20170093718A (ko) 2017-08-16
CN107045977B (zh) 2020-06-30
US9991128B2 (en) 2018-06-05
TWI726989B (zh) 2021-05-11
TW201739951A (zh) 2017-11-16
US20170229311A1 (en) 2017-08-10

Similar Documents

Publication Publication Date Title
CN107045977A (zh) 连续等离子体中的原子层蚀刻
CN109427576B (zh) 蚀刻方法
US10998187B2 (en) Selective deposition with atomic layer etch reset
US10727073B2 (en) Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces
KR102504770B1 (ko) Ale 및 선택적인 증착을 사용하여 기판들 에칭
TWI750120B (zh) GaN及其他Ⅲ-Ⅴ族材料之原子層蝕刻
US11742212B2 (en) Directional deposition in etch chamber
KR20170022922A (ko) 텅스텐 및 다른 금속들의 원자층 에칭
JP2016208027A (ja) コバルトのエッチバック
TW201715610A (zh) 非等向性鎢蝕刻用方法及設備
TW201719712A (zh) 原子層蝕刻平坦度:半導體工業內部及外部
JP2016157940A (ja) 窒化シリコンのエッチング時における超高選択比を達成するための方法
KR20030007457A (ko) 소재의 플라즈마 세정장치 및 방법
TW201717276A (zh) 蝕刻方法
TW201818465A (zh) 被處理體之處理方法
US9653321B2 (en) Plasma processing method
TWI809086B (zh) 蝕刻方法及電漿處理裝置
CN115943481A (zh) 基板处理方法以及基板处理装置
KR20220148249A (ko) EUV 패터닝의 결함 감소를 위한 다층 하드마스크 (multi-layer hardmask)
TWI850276B (zh) 蝕刻腔室中的方向性沉積
KR20220029478A (ko) 기판 처리 방법 및 플라즈마 처리 장치

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant