JP4860219B2 - 基板の処理方法、電子デバイスの製造方法及びプログラム - Google Patents
基板の処理方法、電子デバイスの製造方法及びプログラム Download PDFInfo
- Publication number
- JP4860219B2 JP4860219B2 JP2005278843A JP2005278843A JP4860219B2 JP 4860219 B2 JP4860219 B2 JP 4860219B2 JP 2005278843 A JP2005278843 A JP 2005278843A JP 2005278843 A JP2005278843 A JP 2005278843A JP 4860219 B2 JP4860219 B2 JP 4860219B2
- Authority
- JP
- Japan
- Prior art keywords
- insulating film
- dielectric constant
- low dielectric
- layer
- product
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Active
Links
- 239000000758 substrate Substances 0.000 title claims description 84
- 238000004519 manufacturing process Methods 0.000 title claims description 44
- 238000003672 processing method Methods 0.000 title claims description 38
- 239000010410 layer Substances 0.000 claims description 240
- 238000000034 method Methods 0.000 claims description 206
- 239000007789 gas Substances 0.000 claims description 185
- 238000012545 processing Methods 0.000 claims description 146
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 claims description 115
- 229910004298 SiO 2 Inorganic materials 0.000 claims description 102
- KRHYYFGTRYWZRS-UHFFFAOYSA-N Fluorane Chemical compound F KRHYYFGTRYWZRS-UHFFFAOYSA-N 0.000 claims description 89
- 229910000040 hydrogen fluoride Inorganic materials 0.000 claims description 89
- 239000011229 interlayer Substances 0.000 claims description 72
- 229920002120 photoresistant polymer Polymers 0.000 claims description 55
- 230000006378 damage Effects 0.000 claims description 54
- 238000010438 heat treatment Methods 0.000 claims description 35
- 229910021529 ammonia Inorganic materials 0.000 claims description 31
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims description 28
- 229910052799 carbon Inorganic materials 0.000 claims description 28
- 238000006243 chemical reaction Methods 0.000 claims description 17
- 238000000465 moulding Methods 0.000 claims description 17
- 238000004380 ashing Methods 0.000 claims description 10
- 239000003990 capacitor Substances 0.000 claims description 9
- 229910052710 silicon Inorganic materials 0.000 claims description 8
- 239000010703 silicon Substances 0.000 claims description 8
- 239000004065 semiconductor Substances 0.000 claims description 7
- 239000004020 conductor Substances 0.000 claims description 4
- 230000008569 process Effects 0.000 description 190
- 239000010408 film Substances 0.000 description 171
- 235000012431 wafers Nutrition 0.000 description 107
- 239000000047 product Substances 0.000 description 92
- 238000012546 transfer Methods 0.000 description 40
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 32
- 238000001020 plasma etching Methods 0.000 description 25
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 description 21
- 239000000126 substance Substances 0.000 description 20
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 18
- 229910001873 dinitrogen Inorganic materials 0.000 description 17
- 229910052786 argon Inorganic materials 0.000 description 16
- 238000012986 modification Methods 0.000 description 15
- 230000004048 modification Effects 0.000 description 15
- ABTOQLMXBSRXSM-UHFFFAOYSA-N silicon tetrafluoride Chemical compound F[Si](F)(F)F ABTOQLMXBSRXSM-UHFFFAOYSA-N 0.000 description 15
- 238000005530 etching Methods 0.000 description 14
- 239000000463 material Substances 0.000 description 14
- 239000000243 solution Substances 0.000 description 14
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 10
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 10
- 229920005591 polysilicon Polymers 0.000 description 10
- 229910052814 silicon oxide Inorganic materials 0.000 description 10
- 230000006870 function Effects 0.000 description 8
- 239000002245 particle Substances 0.000 description 8
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 7
- 230000003071 parasitic effect Effects 0.000 description 7
- 229920000620 organic polymer Polymers 0.000 description 6
- 238000003860 storage Methods 0.000 description 6
- 239000006227 byproduct Substances 0.000 description 5
- 238000005229 chemical vapour deposition Methods 0.000 description 5
- 238000004891 communication Methods 0.000 description 5
- 238000010586 diagram Methods 0.000 description 5
- 238000001459 lithography Methods 0.000 description 5
- 230000003287 optical effect Effects 0.000 description 5
- XLYOFNOQVPJJNP-UHFFFAOYSA-N water Substances O XLYOFNOQVPJJNP-UHFFFAOYSA-N 0.000 description 5
- 238000009413 insulation Methods 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 238000009832 plasma treatment Methods 0.000 description 4
- 229910052782 aluminium Inorganic materials 0.000 description 3
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 description 3
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 3
- 230000015572 biosynthetic process Effects 0.000 description 3
- 239000011248 coating agent Substances 0.000 description 3
- 238000000576 coating method Methods 0.000 description 3
- 239000002826 coolant Substances 0.000 description 3
- 239000010949 copper Substances 0.000 description 3
- 238000005108 dry cleaning Methods 0.000 description 3
- 125000002887 hydroxy group Chemical group [H]O* 0.000 description 3
- 239000001301 oxygen Substances 0.000 description 3
- 229910052760 oxygen Inorganic materials 0.000 description 3
- 238000005240 physical vapour deposition Methods 0.000 description 3
- 230000005855 radiation Effects 0.000 description 3
- 239000003507 refrigerant Substances 0.000 description 3
- 229920006395 saturated elastomer Polymers 0.000 description 3
- 230000032258 transport Effects 0.000 description 3
- 238000001039 wet etching Methods 0.000 description 3
- RYGMFSIKBFXOCR-UHFFFAOYSA-N Copper Chemical compound [Cu] RYGMFSIKBFXOCR-UHFFFAOYSA-N 0.000 description 2
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 2
- 229910017855 NH 4 F Inorganic materials 0.000 description 2
- 230000005540 biological transmission Effects 0.000 description 2
- 238000004140 cleaning Methods 0.000 description 2
- 150000001875 compounds Chemical class 0.000 description 2
- 238000001816 cooling Methods 0.000 description 2
- 229910052802 copper Inorganic materials 0.000 description 2
- 239000013078 crystal Substances 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000006866 deterioration Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 238000009826 distribution Methods 0.000 description 2
- 229910052731 fluorine Inorganic materials 0.000 description 2
- 239000011737 fluorine Substances 0.000 description 2
- 150000002500 ions Chemical class 0.000 description 2
- 229910052451 lead zirconate titanate Inorganic materials 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000000376 reactant Substances 0.000 description 2
- 230000009467 reduction Effects 0.000 description 2
- 238000004381 surface treatment Methods 0.000 description 2
- TXEYQDLBPFQVAA-UHFFFAOYSA-N tetrafluoromethane Chemical compound FC(F)(F)F TXEYQDLBPFQVAA-UHFFFAOYSA-N 0.000 description 2
- 238000005979 thermal decomposition reaction Methods 0.000 description 2
- 230000008016 vaporization Effects 0.000 description 2
- 241000860832 Yoda Species 0.000 description 1
- KPYKIKAOXJKNGG-UHFFFAOYSA-N [Nb].[Bi].[Sr] Chemical compound [Nb].[Bi].[Sr] KPYKIKAOXJKNGG-UHFFFAOYSA-N 0.000 description 1
- 238000010521 absorption reaction Methods 0.000 description 1
- 230000004075 alteration Effects 0.000 description 1
- 229910052454 barium strontium titanate Inorganic materials 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 230000000052 comparative effect Effects 0.000 description 1
- 239000000498 cooling water Substances 0.000 description 1
- 230000007797 corrosion Effects 0.000 description 1
- 238000005260 corrosion Methods 0.000 description 1
- 230000008021 deposition Effects 0.000 description 1
- 238000013461 design Methods 0.000 description 1
- 238000010790 dilution Methods 0.000 description 1
- 239000012895 dilution Substances 0.000 description 1
- 230000009977 dual effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000001704 evaporation Methods 0.000 description 1
- 239000001307 helium Substances 0.000 description 1
- 229910052734 helium Inorganic materials 0.000 description 1
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 1
- 239000012535 impurity Substances 0.000 description 1
- 230000010354 integration Effects 0.000 description 1
- HFGPZNIAWCZYJU-UHFFFAOYSA-N lead zirconate titanate Chemical compound [O-2].[O-2].[O-2].[O-2].[O-2].[Ti+4].[Zr+4].[Pb+2] HFGPZNIAWCZYJU-UHFFFAOYSA-N 0.000 description 1
- 239000004973 liquid crystal related substance Substances 0.000 description 1
- 238000011068 loading method Methods 0.000 description 1
- 238000005259 measurement Methods 0.000 description 1
- 229910044991 metal oxide Inorganic materials 0.000 description 1
- 150000004706 metal oxides Chemical class 0.000 description 1
- 238000002156 mixing Methods 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 150000004767 nitrides Chemical class 0.000 description 1
- 229910052757 nitrogen Inorganic materials 0.000 description 1
- 239000003960 organic solvent Substances 0.000 description 1
- 230000000704 physical effect Effects 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 229920002379 silicone rubber Polymers 0.000 description 1
- 239000002344 surface layer Substances 0.000 description 1
- 239000010409 thin film Substances 0.000 description 1
- 229910052721 tungsten Inorganic materials 0.000 description 1
- 238000009834 vaporization Methods 0.000 description 1
Images
Classifications
-
- E—FIXED CONSTRUCTIONS
- E04—BUILDING
- E04C—STRUCTURAL ELEMENTS; BUILDING MATERIALS
- E04C2/00—Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels
- E04C2/30—Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure
- E04C2/32—Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure formed of corrugated or otherwise indented sheet-like material; composed of such layers with or without layers of flat sheet-like material
- E04C2/322—Building elements of relatively thin form for the construction of parts of buildings, e.g. sheet materials, slabs, or panels characterised by the shape or structure formed of corrugated or otherwise indented sheet-like material; composed of such layers with or without layers of flat sheet-like material with parallel corrugations
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- E—FIXED CONSTRUCTIONS
- E04—BUILDING
- E04B—GENERAL BUILDING CONSTRUCTIONS; WALLS, e.g. PARTITIONS; ROOFS; FLOORS; CEILINGS; INSULATION OR OTHER PROTECTION OF BUILDINGS
- E04B1/00—Constructions in general; Structures which are not restricted either to walls, e.g. partitions, or floors or ceilings or roofs
- E04B1/38—Connections for building structures in general
- E04B1/61—Connections for building structures in general of slab-shaped building elements with each other
- E04B1/6108—Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together
- E04B1/612—Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces
- E04B1/6125—Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces with protrusions on the one frontal surface co-operating with recesses in the other frontal surface
- E04B1/6137—Connections for building structures in general of slab-shaped building elements with each other the frontal surfaces of the slabs connected together by means between frontal surfaces with protrusions on the one frontal surface co-operating with recesses in the other frontal surface the connection made by formlocking
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67161—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
- H01L21/67167—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/6719—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/67005—Apparatus not specifically provided for elsewhere
- H01L21/67011—Apparatus for manufacture or treatment
- H01L21/67155—Apparatus for manufacturing or treating in a plurality of work-stations
- H01L21/67201—Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the load-lock chamber
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/70—Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
- H01L21/71—Manufacture of specific parts of devices defined in group H01L21/70
- H01L21/768—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
- H01L21/76801—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
- H01L21/76802—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
- H01L21/76814—Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S438/00—Semiconductor device manufacturing: process
- Y10S438/906—Cleaning of wafer as interim step
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical & Material Sciences (AREA)
- Architecture (AREA)
- Chemical Kinetics & Catalysis (AREA)
- General Chemical & Material Sciences (AREA)
- Inorganic Chemistry (AREA)
- Civil Engineering (AREA)
- Structural Engineering (AREA)
- Electromagnetism (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
Description
いる。
D. Shamiryan, "Comparative study of SiOCH low-k films with varied porosity interacting with etching and cleaning plasma", J. Vac. Sci. Technol. B20(5), American Vacuum Society, 2002年9月, p.1928 依田, 「高性能配線技術」, 東芝レビュー Vol. 59 No. 8, 2004年, p.18
あるので、生成物の生成を助長することができ、もって表面損傷層を確実に除去することができる。
(COR処理)
SiO2+4HF → SiF4+2H2O↑
SiF4+2NH3+2HF → (NH4)2SiF6
(PHT処理)
(NH4)2SiF6 → SiF4↑+2NH3↑+2HF↑
上述した化学反応を利用したCOR処理及びPHT処理は、以下の特性を有することが本発明者によって確認されている。尚、PHT処理においては、N2及びH2も若干量発生する。
次いで、生成物が生成されたウエハWを第3のプロセスユニット36のチャンバ50内のステージヒータ51上に載置し、該チャンバ50内の圧力を所定の圧力に調整し、チャンバ50内に窒素ガスを導入して粘性流を生じさせ、ステージヒータ51によってウエハWを所定の温度に加熱する(表面損傷層加熱ステップ)。これにより、熱によって生成物の錯体構造が分解し、生成物は四弗化珪素(SiF4)、アンモニア、弗化水素に分離して気化する。気化したこれらの分子は粘性流に巻き込まれて第3のプロセスユニット排気系67によってチャンバ50から排出される。
の差に基づいて、当該ウエハWに再度COR処理及びPHT処理を施すか否かを決定してもよく、さらに、再度COR処理及びPHT処理を施す場合には、EC89のCPUが、当該ウエハWのCOR処理及びPHT処理を施した後におけるCD値に応じて、上記所定の関係に基づいてCOR処理及びPHT処理の条件パラメータを決定してもよい。
次いで、リソグラフィによって低誘電率層間絶縁膜106の一部を暴露する開口部107を有するパターンのフォトレジスト層108を形成し(フォトレジスト層形成ステップ)(図6(B))、該形成されたフォトレジスト層108をマスクとして用いて、処理ガス(例えば、所定の流量比の弗化炭素(C4F8)ガス、酸素(O2)ガス及びアルゴンガスから成る混合ガス)がプラズマ化されて発生したイオンやラジカルによって低誘電率層間絶縁膜106をRIE処理によってエッチングし、低誘電率層間絶縁膜106において上部電極104に達するビア(Via)ホール(接続孔)109を加工成形する(プラズマ加工成形ステップ)(図6(C))。このとき、低誘電率層間絶縁膜106におけるビアホール109の表面は、RIE処理に起因して発生した疑似SiO2層110によって覆われる。
本実施の形態に係る電子デバイスの製造方法の第3の変形例によれば、RIE処理に起因して発生する疑似SiO2層135で覆われたTEOS層132の露出面が、所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露され、さらに、TEOS層132の露出面が所定の温度に加熱される。疑似SiO2層135が所定の圧力下においてアンモニアガス、弗化水素ガス及びアルゴンガスから成る混合気体の雰囲気に暴露されると、疑似SiO2層135、アンモニアガス及び弗化水素ガスに基づいた生成物136が生成され、該生成された生成物136が所定の温度に加熱されると、当該生成物136が気化する。すなわち、薬液を用いずにTEOS層132の露出面における疑似SiO2層135を除去することができる。また、生成物136の生成量は混合気体のパラメータによって制御することができる。したがって、TEOS層132の露出面における疑似SiO2層135の除去量の制御を容易に行うことができると共に、電子デバイスにおける配線信頼性の低下を防止することができる。
10,137,160 基板処理装置
11 第1のプロセスシップ
12 第2のプロセスシップ
13 ローダーユニット
17 第1のIMS
18 第2のIMS
25 第1のプロセスユニット
34 第2のプロセスユニット
36 第3のプロセスユニット
37 第2の搬送アーム
38,50,70 チャンバ
39 ESC
40 シャワーヘッド
41 TMP
42,69 APCバルブ
45 第1のバッファ室
46 第2のバッファ室
47,48 ガス通気孔
49 第2のロード・ロック室
51 ステージヒータ
57 アンモニアガス供給管
58 弗化水素ガス供給管
59,66,72 圧力ゲージ
61 第2のプロセスユニット排気系
65,71 窒素ガス供給管
67 第3のプロセスユニット排気系
73 第2のロード・ロックユニット排気系
74 大気連通管
89 EC
90,91,92 MC
93 スイッチングハブ
95 GHOSTネットワーク
97,98,99 I/Oモジュール
100 I/O部
101,114,130 酸化珪素膜
105 キャパシタ
106,113,123 低誘電率層間絶縁膜
108,117,125,134 フォトレジスト層
109 ビアホール
110,119,127,135 疑似SiO2層
111,120,128,136 生成物
112,122,131 ポリシリコン層
115 層間絶縁膜
118 配線溝
121,129 配線
126 コンタクトホール
132 TEOS層
133 BARC層
138,163 トランスファユニット
139,140,141,142,161,162 プロセスユニット
170 LAN
171 PC
Claims (8)
- 炭素を含む低誘電率絶縁膜を有し、該低誘電率絶縁膜は、炭素濃度が低下し且つRIE処理によって生成した疑似SiO2層を表面損傷層として有する基板の処理方法であって、
前記表面損傷層を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露して、前記表面損傷層と前記混合気体のガス分子との化学反応による生成物を生成させる表面損傷層暴露ステップと、
前記表面損傷層暴露ステップ後に、前記生成物を所定の温度に加熱して前記生成物を気化させることにより、前記生成物を前記低誘電率絶縁膜から除去する表面損傷層加熱ステップと、を有することを特徴とする基板の処理方法。 - 前記混合気体における前記アンモニアに対する前記弗化水素の体積流量比は1〜1/2であり、前記所定の圧力は6.7×10−2〜4.0Paであることを特徴とする請求項1記載の基板の処理方法。
- 前記所定の温度は80〜200℃であることを特徴とする請求項1又は2記載の基板の処理方法。
- 前記表面損傷層を有する低誘電率絶縁膜の形状を測定し、該測定された形状に応じて前記混合気体における前記アンモニアに対する前記弗化水素の体積流量比、及び前記所定の圧力の少なくとも1つを決定する条件決定ステップを、さらに有することを特徴とする請求項1乃至3のいずれか1項に記載の基板の処理方法。
- 半導体基板上に形成された下部電極、容量絶縁膜及び上部電極からなるキャパシタ上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜ステップと、
前記低誘電率絶縁膜成膜ステップにより成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成ステップと、
前記フォトレジスト層形成ステップにより形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記上部電極に達する接続孔を加工成形するプラズマ加工成形ステップと、
前記プラズマ加工成形ステップにより加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露し、前記プラズマ加工成形ステップによって前記低誘電率絶縁膜において前記接続孔の表面に形成された炭素濃度の低下した表面損傷層である疑似SiO2層と前記混合気体のガス分子との化学反応による生成物を生成させる接続孔表面暴露ステップと、
前記接続孔表面暴露ステップ後に、前記接続孔の表面を所定の温度に加熱して前記生成物を気化させることにより、前記生成物を前記低誘電率絶縁膜から除去する接続孔表面加熱ステップと、を有することを特徴とする電子デバイスの製造方法。 - 半導体基板上に炭素を含む低誘電率絶縁膜を成膜し、該低誘電率絶縁膜上に前記低誘電率絶縁膜より少なくとも炭素濃度が低い他の絶縁膜を成膜して、前記低誘電率絶縁膜と前記他の絶縁膜とからなる層間絶縁膜を形成する層間絶縁膜形成ステップと、
前記層間絶縁膜形成ステップにより形成された層間絶縁膜にプラズマ処理により配線溝を加工成形するプラズマ加工成形ステップと、
前記プラズマ加工成形ステップにより加工形成された配線溝の少なくとも前記低誘電率絶縁膜の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露し、前記プラズマ加工成形ステップによって前記低誘電率絶縁膜において前記配線溝の表面に形成された炭素濃度の低下した表面損傷層である疑似SiO2層と前記混合気体のガス分子との化学反応による生成物を生成させる配線溝表面暴露ステップと、
前記配線溝表面暴露ステップ後に、前記配線溝の表面を所定の温度に加熱して前記生成物を気化させることにより、前記生成物を前記低誘電率絶縁膜から除去する配線溝表面加熱ステップと、
前記配線溝表面加熱ステップ後に、前記他の絶縁膜を除去する他の絶縁膜除去ステップと、
前記他の絶縁膜除去ステップ後に、前記配線溝に導電材料を導入して配線を形成する配線形成ステップと、を有することを特徴とする電子デバイスの製造方法。 - 半導体基板上に珪素を含む導電膜を成膜する導電膜成膜ステップと、
前記導電膜成膜ステップにより成膜された導電膜上に炭素を含む低誘電率絶縁膜を成膜する低誘電率絶縁膜成膜ステップと、
前記低誘電率絶縁膜成膜ステップにより成膜された低誘電率絶縁膜上に所定のパターンのフォトレジスト層を形成するフォトレジスト層形成ステップと、
前記フォトレジスト層形成ステップにより形成されたフォトレジスト層を用いてプラズマ処理により前記低誘電率絶縁膜において前記導電膜に達する接続孔を加工成形するプラズマ加工成形ステップと、
前記プラズマ加工成形ステップにより加工成形された接続孔の表面を所定の圧力下においてアンモニアと弗化水素を含む混合気体の雰囲気に暴露し、前記プラズマ加工成形ステップによって前記低誘電率絶縁膜において前記接続孔の表面に形成された炭素濃度の低下した表面損傷層である疑似SiO2層と前記混合気体のガス分子との化学反応による生成物を生成させる接続孔表面暴露ステップと、
前記接続孔表面暴露ステップ後に、前記接続孔の表面を所定の温度に加熱して前記生成物を気化させることにより、前記生成物を前記低誘電率絶縁膜から除去する接続孔表面加熱ステップと、
前記接続孔表面加熱ステップ後に、前記フォトレジスト層を除去するアッシングステップと、
前記アッシングステップ後に、前記接続孔に導電材料を導入して配線を形成する配線形成ステップと、を有することを特徴とする電子デバイスの製造方法。 - 基板処理装置の動作を制御するコンピュータに請求項1乃至4のいずれか1項に記載の基板の処理方法を実行させることを特徴とするプログラム。
Priority Applications (6)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2005278843A JP4860219B2 (ja) | 2005-02-14 | 2005-09-26 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
TW095104740A TWI456691B (zh) | 2005-02-14 | 2006-02-13 | 基板之處理方法,電子裝置之製造方法及程式 |
KR1020060013738A KR100830736B1 (ko) | 2005-02-14 | 2006-02-13 | 기판 처리 방법, 전자 디바이스 제조 방법 및 프로그램을기록한 기록 매체 |
US11/353,132 US7682517B2 (en) | 2005-02-14 | 2006-02-14 | Method of processing substrate, and method of and program for manufacturing electronic device |
EP06002925A EP1691408A3 (en) | 2005-02-14 | 2006-02-14 | Method of and program for manufacturing an electronic device |
CNB2006100074778A CN100517602C (zh) | 2005-02-14 | 2006-02-14 | 基板的处理方法、电子器件的制造方法和程序 |
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP2005036716 | 2005-02-14 | ||
JP2005036716 | 2005-02-14 | ||
JP2005278843A JP4860219B2 (ja) | 2005-02-14 | 2005-09-26 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
Publications (3)
Publication Number | Publication Date |
---|---|
JP2006253634A JP2006253634A (ja) | 2006-09-21 |
JP2006253634A5 JP2006253634A5 (ja) | 2008-11-06 |
JP4860219B2 true JP4860219B2 (ja) | 2012-01-25 |
Family
ID=36480956
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2005278843A Active JP4860219B2 (ja) | 2005-02-14 | 2005-09-26 | 基板の処理方法、電子デバイスの製造方法及びプログラム |
Country Status (6)
Country | Link |
---|---|
US (1) | US7682517B2 (ja) |
EP (1) | EP1691408A3 (ja) |
JP (1) | JP4860219B2 (ja) |
KR (1) | KR100830736B1 (ja) |
CN (1) | CN100517602C (ja) |
TW (1) | TWI456691B (ja) |
Families Citing this family (43)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US7795148B2 (en) * | 2006-03-28 | 2010-09-14 | Tokyo Electron Limited | Method for removing damaged dielectric material |
JP2008034736A (ja) * | 2006-07-31 | 2008-02-14 | Tokyo Electron Ltd | 熱処理方法および熱処理装置 |
US7723237B2 (en) * | 2006-12-15 | 2010-05-25 | Tokyo Electron Limited | Method for selective removal of damaged multi-stack bilayer films |
JP2008192835A (ja) * | 2007-02-05 | 2008-08-21 | Tokyo Electron Ltd | 成膜方法,基板処理装置,および半導体装置 |
KR20150038360A (ko) * | 2007-05-18 | 2015-04-08 | 브룩스 오토메이션 인코퍼레이티드 | 빠른 교환 로봇을 가진 컴팩트 기판 운송 시스템 |
WO2009001774A1 (ja) * | 2007-06-22 | 2008-12-31 | Ulvac, Inc. | 半導体ウェーハの保護方法及び半導体装置の製造方法 |
TWI459851B (zh) * | 2007-09-10 | 2014-11-01 | Ngk Insulators Ltd | heating equipment |
JP5374039B2 (ja) * | 2007-12-27 | 2013-12-25 | 東京エレクトロン株式会社 | 基板処理方法、基板処理装置及び記憶媒体 |
JP5084525B2 (ja) * | 2008-01-22 | 2012-11-28 | 株式会社アルバック | 基板処理装置、及び基板処理方法 |
JP2010278040A (ja) * | 2009-05-26 | 2010-12-09 | Renesas Electronics Corp | 半導体装置の製造方法および半導体装置 |
US8696815B2 (en) | 2009-09-01 | 2014-04-15 | Samsung Display Co., Ltd. | Thin film deposition apparatus |
KR101732023B1 (ko) * | 2010-12-23 | 2017-05-02 | 삼성전자주식회사 | 반도체 장치의 형성 방법 |
US8569158B2 (en) | 2011-03-31 | 2013-10-29 | Tokyo Electron Limited | Method for forming ultra-shallow doping regions by solid phase diffusion |
US8580664B2 (en) | 2011-03-31 | 2013-11-12 | Tokyo Electron Limited | Method for forming ultra-shallow boron doping regions by solid phase diffusion |
KR20130004830A (ko) | 2011-07-04 | 2013-01-14 | 삼성디스플레이 주식회사 | 유기층 증착 장치 및 이를 이용한 유기 발광 표시 장치의 제조 방법 |
JP2013048127A (ja) * | 2011-07-26 | 2013-03-07 | Applied Materials Inc | アッシュ後の側壁の回復 |
CN102931130A (zh) * | 2011-08-11 | 2013-02-13 | 应用材料公司 | 灰化后侧壁修复 |
KR101707295B1 (ko) * | 2012-05-23 | 2017-02-15 | 도쿄엘렉트론가부시키가이샤 | 산화물 에칭 방법 |
US8871639B2 (en) | 2013-01-04 | 2014-10-28 | Taiwan Semiconductor Manufacturing Company, Ltd. | Semiconductor devices and methods of manufacture thereof |
US9543163B2 (en) * | 2013-08-20 | 2017-01-10 | Applied Materials, Inc. | Methods for forming features in a material layer utilizing a combination of a main etching and a cyclical etching process |
US9576811B2 (en) | 2015-01-12 | 2017-02-21 | Lam Research Corporation | Integrating atomic scale processes: ALD (atomic layer deposition) and ALE (atomic layer etch) |
US9899224B2 (en) | 2015-03-03 | 2018-02-20 | Tokyo Electron Limited | Method of controlling solid phase diffusion of boron dopants to form ultra-shallow doping regions |
US9806252B2 (en) * | 2015-04-20 | 2017-10-31 | Lam Research Corporation | Dry plasma etch method to pattern MRAM stack |
US9870899B2 (en) | 2015-04-24 | 2018-01-16 | Lam Research Corporation | Cobalt etch back |
US9972504B2 (en) | 2015-08-07 | 2018-05-15 | Lam Research Corporation | Atomic layer etching of tungsten for enhanced tungsten deposition fill |
US10096487B2 (en) | 2015-08-19 | 2018-10-09 | Lam Research Corporation | Atomic layer etching of tungsten and other metals |
US9984858B2 (en) | 2015-09-04 | 2018-05-29 | Lam Research Corporation | ALE smoothness: in and outside semiconductor industry |
US10727073B2 (en) | 2016-02-04 | 2020-07-28 | Lam Research Corporation | Atomic layer etching 3D structures: Si and SiGe and Ge smoothness on horizontal and vertical surfaces |
US10229837B2 (en) | 2016-02-04 | 2019-03-12 | Lam Research Corporation | Control of directionality in atomic layer etching |
US9991128B2 (en) | 2016-02-05 | 2018-06-05 | Lam Research Corporation | Atomic layer etching in continuous plasma |
US10269566B2 (en) | 2016-04-29 | 2019-04-23 | Lam Research Corporation | Etching substrates using ale and selective deposition |
US9837312B1 (en) | 2016-07-22 | 2017-12-05 | Lam Research Corporation | Atomic layer etching for enhanced bottom-up feature fill |
JP6738485B2 (ja) * | 2016-08-26 | 2020-08-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | 低圧リフトピンキャビティハードウェア |
US10566212B2 (en) | 2016-12-19 | 2020-02-18 | Lam Research Corporation | Designer atomic layer etching |
US10559451B2 (en) * | 2017-02-15 | 2020-02-11 | Applied Materials, Inc. | Apparatus with concentric pumping for multiple pressure regimes |
JP6956551B2 (ja) * | 2017-03-08 | 2021-11-02 | 東京エレクトロン株式会社 | 酸化膜除去方法および除去装置、ならびにコンタクト形成方法およびコンタクト形成システム |
US20180261464A1 (en) * | 2017-03-08 | 2018-09-13 | Tokyo Electron Limited | Oxide film removing method, oxide film removing apparatus, contact forming method, and contact forming system |
US10559461B2 (en) | 2017-04-19 | 2020-02-11 | Lam Research Corporation | Selective deposition with atomic layer etch reset |
US10832909B2 (en) | 2017-04-24 | 2020-11-10 | Lam Research Corporation | Atomic layer etch, reactive precursors and energetic sources for patterning applications |
US9997371B1 (en) | 2017-04-24 | 2018-06-12 | Lam Research Corporation | Atomic layer etch methods and hardware for patterning applications |
EP3776636A4 (en) | 2018-03-30 | 2021-12-22 | Lam Research Corporation | ATOMIC LAYER ENGRAVING AND SMOOTHING OF REFRACTORY METALS AND OTHER HIGH SURFACE BOND ENERGY MATERIALS |
US11131919B2 (en) * | 2018-06-22 | 2021-09-28 | International Business Machines Corporation | Extreme ultraviolet (EUV) mask stack processing |
JP7349861B2 (ja) * | 2019-09-24 | 2023-09-25 | 東京エレクトロン株式会社 | エッチング方法、ダメージ層の除去方法、および記憶媒体 |
Family Cites Families (25)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
EP0376252B1 (en) * | 1988-12-27 | 1997-10-22 | Kabushiki Kaisha Toshiba | Method of removing an oxide film on a substrate |
US6562544B1 (en) * | 1996-11-04 | 2003-05-13 | Applied Materials, Inc. | Method and apparatus for improving accuracy in photolithographic processing of substrates |
JP3869089B2 (ja) * | 1996-11-14 | 2007-01-17 | 株式会社日立製作所 | 半導体集積回路装置の製造方法 |
US6074951A (en) * | 1997-05-29 | 2000-06-13 | International Business Machines Corporation | Vapor phase etching of oxide masked by resist or masking material |
JPH1174354A (ja) * | 1997-06-30 | 1999-03-16 | Hitachi Ltd | 半導体集積回路装置およびその製造方法 |
US6805139B1 (en) * | 1999-10-20 | 2004-10-19 | Mattson Technology, Inc. | Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing |
US6265320B1 (en) * | 1999-12-21 | 2001-07-24 | Novellus Systems, Inc. | Method of minimizing reactive ion etch damage of organic insulating layers in semiconductor fabrication |
US6677549B2 (en) * | 2000-07-24 | 2004-01-13 | Canon Kabushiki Kaisha | Plasma processing apparatus having permeable window covered with light shielding film |
KR100365641B1 (ko) * | 2000-07-29 | 2002-12-26 | 삼성전자 주식회사 | 배선에 의한 기생 용량을 줄일 수 있는 반도체 장치 및 그형성방법 |
TW461051B (en) * | 2000-11-10 | 2001-10-21 | Silicon Based Tech Corp | Manufacturing of shrinkable split-gate flash memory with three-sided erase electrodes |
US6926843B2 (en) * | 2000-11-30 | 2005-08-09 | International Business Machines Corporation | Etching of hard masks |
JP2002303993A (ja) * | 2001-04-04 | 2002-10-18 | Mitsubishi Electric Corp | 半導体装置およびその製造方法 |
JP2002353308A (ja) * | 2001-05-28 | 2002-12-06 | Toshiba Corp | 半導体装置及びその製造方法 |
TW504799B (en) * | 2001-12-28 | 2002-10-01 | Shr Min | Copper line fabrication method |
US6660598B2 (en) * | 2002-02-26 | 2003-12-09 | International Business Machines Corporation | Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region |
JP3606272B2 (ja) * | 2002-06-26 | 2005-01-05 | 松下電器産業株式会社 | 配線構造の形成方法 |
JP3909283B2 (ja) * | 2002-10-31 | 2007-04-25 | 富士通株式会社 | 半導体装置の製造方法 |
US6838300B2 (en) * | 2003-02-04 | 2005-01-04 | Texas Instruments Incorporated | Chemical treatment of low-k dielectric films |
JP2004247417A (ja) * | 2003-02-12 | 2004-09-02 | Renesas Technology Corp | 半導体装置の製造方法 |
US6951821B2 (en) * | 2003-03-17 | 2005-10-04 | Tokyo Electron Limited | Processing system and method for chemically treating a substrate |
US7029536B2 (en) * | 2003-03-17 | 2006-04-18 | Tokyo Electron Limited | Processing system and method for treating a substrate |
US7079760B2 (en) * | 2003-03-17 | 2006-07-18 | Tokyo Electron Limited | Processing system and method for thermally treating a substrate |
US6905941B2 (en) * | 2003-06-02 | 2005-06-14 | International Business Machines Corporation | Structure and method to fabricate ultra-thin Si channel devices |
JP4833512B2 (ja) * | 2003-06-24 | 2011-12-07 | 東京エレクトロン株式会社 | 被処理体処理装置、被処理体処理方法及び被処理体搬送方法 |
US7097779B2 (en) * | 2004-07-06 | 2006-08-29 | Tokyo Electron Limited | Processing system and method for chemically treating a TERA layer |
-
2005
- 2005-09-26 JP JP2005278843A patent/JP4860219B2/ja active Active
-
2006
- 2006-02-13 TW TW095104740A patent/TWI456691B/zh active
- 2006-02-13 KR KR1020060013738A patent/KR100830736B1/ko active IP Right Grant
- 2006-02-14 CN CNB2006100074778A patent/CN100517602C/zh active Active
- 2006-02-14 US US11/353,132 patent/US7682517B2/en active Active
- 2006-02-14 EP EP06002925A patent/EP1691408A3/en not_active Withdrawn
Also Published As
Publication number | Publication date |
---|---|
KR100830736B1 (ko) | 2008-05-20 |
TWI456691B (zh) | 2014-10-11 |
EP1691408A3 (en) | 2010-01-06 |
CN1822326A (zh) | 2006-08-23 |
CN100517602C (zh) | 2009-07-22 |
EP1691408A2 (en) | 2006-08-16 |
TW200636914A (en) | 2006-10-16 |
JP2006253634A (ja) | 2006-09-21 |
KR20060018918A (ko) | 2006-03-02 |
US7682517B2 (en) | 2010-03-23 |
US20060194435A1 (en) | 2006-08-31 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP4860219B2 (ja) | 基板の処理方法、電子デバイスの製造方法及びプログラム | |
US7510972B2 (en) | Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device | |
TWI389194B (zh) | A substrate processing apparatus, a substrate processing method, and a memory medium | |
US20060196527A1 (en) | Method of surface processing substrate, method of cleaning substrate, and programs for implementing the methods | |
TWI624860B (zh) | 含氧之陶瓷硬遮罩及相關濕式清潔 | |
US8383522B2 (en) | Micro pattern forming method | |
KR100810163B1 (ko) | 반도체 장치의 제조 방법, 기판 처리 시스템 및 기록 매체 | |
US20090001046A1 (en) | Substrate processing method, substrate processing apparatus and recording medium | |
KR101423019B1 (ko) | 미세 패턴의 형성 방법 | |
JP2010027788A (ja) | 銅の異方性ドライエッチング方法および装置 | |
TWI398920B (zh) | Surface treatment of substrates | |
TWI415177B (zh) | A substrate processing method and a substrate processing apparatus | |
TW200832555A (en) | Substrate processing method and substrate processing system | |
JP2007266455A (ja) | 基板処理装置、基板処理方法及び記憶媒体 | |
JP2006261633A (ja) | 基板の処理方法、固体撮像素子の製造方法、薄膜デバイスの製造方法及びプログラム | |
JP6696491B2 (ja) | 半導体装置の製造方法及び真空処理装置 | |
KR102356462B1 (ko) | 산소-함유 세라믹 하드 마스크들 및 관련 습식-세정들 | |
JP4843285B2 (ja) | 電子デバイスの製造方法及びプログラム | |
JP2009267111A (ja) | 半導体デバイスの製造方法、製造装置、コンピュータプログラム、及びコンピュータ可読記憶媒体 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20080924 |
|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20080924 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20100601 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20100603 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20100802 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110523 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20110722 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20110809 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20111003 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20111028 |
|
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20111102 |
|
R150 | Certificate of patent or registration of utility model |
Free format text: JAPANESE INTERMEDIATE CODE: R150 Ref document number: 4860219 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
FPAY | Renewal fee payment (event date is renewal date of database) |
Free format text: PAYMENT UNTIL: 20141111 Year of fee payment: 3 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |