KR101707295B1 - 산화물 에칭 방법 - Google Patents

산화물 에칭 방법 Download PDF

Info

Publication number
KR101707295B1
KR101707295B1 KR1020147032540A KR20147032540A KR101707295B1 KR 101707295 B1 KR101707295 B1 KR 101707295B1 KR 1020147032540 A KR1020147032540 A KR 1020147032540A KR 20147032540 A KR20147032540 A KR 20147032540A KR 101707295 B1 KR101707295 B1 KR 101707295B1
Authority
KR
South Korea
Prior art keywords
gas
chamber
oxide film
etching
mtorr
Prior art date
Application number
KR1020147032540A
Other languages
English (en)
Other versions
KR20150022773A (ko
Inventor
도모키 스에마사
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20150022773A publication Critical patent/KR20150022773A/ko
Application granted granted Critical
Publication of KR101707295B1 publication Critical patent/KR101707295B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials

Abstract

챔버 내에 표면에 패턴 형상의 실리콘 산화막이 형성된 피처리체를 수용하고, 챔버 내에 반응 가스인 HF 가스 및 NH3 가스를 공급하여, 이들과 실리콘 산화막을 반응시키는 처리를 행하고, 그 후, 이 반응에 의해 생성한 반응 생성물을 가열하여 분해 제거하여 에칭함에 있어서, 챔버 내에 반응 가스인 HF 가스 및 NH3 가스 외에 희석 가스를 공급하고, 그 양을 조정하여, 챔버 내의 압력을, 에칭 잔여물이 존재하지 않고, 또한 수직성이 높은 에칭 형상이 되도록 조정한다.

Description

산화물 에칭 방법{OXIDE ETCHING METHOD}
본 발명은, 불화수소(HF) 가스와 암모니아(NH3) 가스의 혼합 가스를 이용하여 화학적 산화물 제거 처리를 행하는 산화물 에칭 방법에 관한 것이다.
최근, 반도체 디바이스의 제조 과정에서, 드라이 에칭이나 웨트 에칭을 대신하는 미세화 에칭이 가능한 방법으로서, 화학적 산화물 제거 처리(Chemical Oxide Removal; COR)라고 불리는 수법이 주목받고 있다.
COR로서는, 진공으로 유지된 챔버 내에서, 피처리체인 반도체 웨이퍼의 표면에 존재하는 실리콘 산화막(SiO2막)에, 불화수소(HF) 가스와 암모니아(NH3) 가스를 흡착시키고, 이들을 실리콘 산화막과 반응시켜 플루오르규산암모늄((NH4)2SiF6; AFS)을 생성시켜, 다음 공정에서 가열에 의해 이 플루오르규산암모늄을 승화시키는 것에 의해, 실리콘 산화막을 에칭하는 프로세스가 알려져 있다(예컨대, 특허 문헌 1, 2 참조).
상기와 같이 실리콘 산화막을 에칭하는 경우, HF 가스 및 NH3 가스의 혼합 가스와 실리콘 산화막을 반응시킬 때에는, 챔버 내의 압력을 10~100mTorr(1.33~13.3㎩), 반도체 웨이퍼의 온도를 30~40℃, 전체 가스의 유량을 100~200sccm(mL/min)으로 하는 조건이 채용되고 있다.
(선행 기술 문헌)
(특허 문헌)
(특허 문헌 1) 일본 특허 공개 2005-39185호 공보
(특허 문헌 2) 일본 특허 공개 2008-160000호 공보
그런데, 웨이퍼 표면의 패턴 형상의 실리콘 산화막을 에칭하는 경우, 패턴의 미세화와 함께 패턴 저면에서의 에칭 레이트가 감소하고, 패턴 저면에서 실리콘 산화막이 잔존하여 버린다고 하는 문제가 발생한다. 이와 같은 에칭 잔여물이 발생한 경우에는, 통상 처리의 온도를 상승시켜 에칭 레이트를 상승시키지만, 온도를 상승시킨 경우에는 에칭 형상이 테이퍼 형상이 되어 버린다.
따라서, 본 발명의 목적은, HF 가스 및 NH3 가스의 혼합 가스에 의해 패턴 형상의 실리콘 산화막을 에칭하는 경우에, 패턴 저면에서의 에칭 잔여물이 발생하지 않고, 또한 수직성이 높은 에칭을 행할 수 있는 산화물 에칭 방법을 제공하는 것에 있다.
본 발명자는, 패턴 형상의 실리콘 산화막이 형성된 피처리체를 반응 가스인 HF 가스 및 NH3 가스로 처리하고, 반응 가스와 실리콘 산화막의 반응에 의해 생성한 반응 생성물을 가열하여 분해 제거하여 에칭함에 있어서, 이들 반응 가스에 가하는 희석 가스의 양을 조정하여 챔버 내의 압력을 높게 하는 것에 의해, 에칭 잔여물이 존재하지 않고, 또한 수직성이 높은 에칭 형상을 얻을 수 있는 것을 발견했다.
즉, 본 발명에 의하면, 챔버 내에 표면에 패턴 형상의 실리콘 산화막이 형성된 피처리체를 수용하고, 상기 챔버 내에 반응 가스인 HF 가스 및 NH3 가스를 공급하여, 이들과 상기 실리콘 산화막을 반응시키는 처리를 행하고, 그 후, 이 반응에 의해 생성한 반응 생성물을 가열하여 분해 제거하여 에칭하는 산화물 에칭 방법으로서, 상기 챔버 내에 반응 가스인 HF 가스 및 NH3 가스 외에 희석 가스를 공급하고, 상기 희석 가스의 양을 조정하여, 상기 챔버 내의 압력을, 에칭 잔여물이 존재하지 않고, 또한 수직성이 높은 에칭 형상이 되도록 조정하는 산화물 에칭 방법이 제공된다.
본 발명에 있어서, 상기 반응시키는 처리의 피처리체의 온도를 40℃ 이하, 상기 챔버 내의 압력을 200mTorr 이상으로 하는 것이 바람직하다. 상기 반응시키는 처리의 피처리체의 온도를 35℃ 이하로 하는 것이 보다 바람직하다.
HF 가스의 분압을 5~50mTorr, NH3 가스의 분압을 5~50mTorr, 희석 가스의 분압을 200mTorr 이상으로 하는 것이 바람직하다.
또한, 상기 챔버 내의 압력을 300mTorr 이상으로 하는 것이 바람직하다.
또한, 본 발명의 가스 처리는, 쉘로우 트렌치 아이솔레이션(Shallow Trench Isolation) 구조의 패턴 형상의 열산화막의 에칭에 적용할 수 있다.
도 1은 본 발명의 일 실시 형태와 관련되는 처리 시스템의 개략 구성을 나타내는 평면도이다.
도 2는 도 1의 처리 시스템에 탑재된 PHT 처리 장치를 나타내는 단면도이다.
도 3은 도 1의 처리 시스템에 탑재된 COR 처리 장치의 개략 구성을 나타내는 단면도이다.
도 4는 본 발명의 일 실시 형태에 적용되는 피처리체(웨이퍼)의 구조를 나타내는 단면도이다.
도 5는 50㎚ 이하의 패턴에 대하여, 종래의 조건으로 COR 처리를 행한 후에 열처리하여 에칭했을 때의 패턴의 상태를 나타내는 모식도이다.
도 6은 도 5에 대하여, 온도를 상승시킨 조건으로 COR 처리를 행한 후에 열처리하여 에칭했을 때의 패턴의 상태를 나타내는 모식도이다.
도 7은 압력을 10~100mTorr로 한 종래 조건으로 COR 처리를 행한 후에 가열 처리를 행한 경우의 패턴 상태를 나타내는 모식도이다.
도 8은 압력을 200mTorr 이상으로 한 고압력 조건으로 COR 처리를 행한 후에 가열 처리를 행한 경우의 패턴 상태를 나타내는 모식도이다.
이하, 도면을 참조하면서, 본 발명의 실시의 형태에 대하여 설명한다.
도 1은 본 발명의 일 실시 형태와 관련되는 가스 처리 방법을 실시하기 위한 처리 시스템을 나타내는 개략 구성도이다. 이 처리 시스템(1)은, 반도체 웨이퍼(이하, 간단히 웨이퍼라고 적는다) W를 반입출하는 반입출부(2)와, 반입출부(2)에 인접시켜서 마련된 2개의 로드록실(L/L)(3)과, 각 로드록실(3)에 각각 인접하여 마련된, 웨이퍼 W에 대하여 PHT(Post Heat Treatment) 처리를 행하는 PHT 처리 장치(PHT)(4)와, 각 PHT 처리 장치(4)에 각각 인접하여 마련된, 웨이퍼 W에 대하여 COR 처리를 행하는 COR 처리 장치(COR)(5)를 구비하고 있다. 로드록실(3), PHT 처리 장치(4) 및 COR 처리 장치(5)는, 이 순서로 일직선상으로 늘어놓아 마련되어 있다.
반입출부(2)는, 웨이퍼 W를 반송하는 제 1 웨이퍼 반송 기구(11)가 내부에 마련된 반송실(L/M)(12)을 갖고 있다. 제 1 웨이퍼 반송 기구(11)는, 웨이퍼 W를 대략 수평으로 유지하는 2개의 반송 암(11a, 11b)을 갖고 있다. 반송실(12)의 긴 방향의 측부에는, 탑재대(13)가 마련되어 있고, 이 탑재대(13)에는, 웨이퍼 W를 복수 매 늘어놓아 수용 가능한 캐리어 C가 예컨대 3개 접속할 수 있도록 되어 있다. 또한, 반송실(12)에 인접하여, 웨이퍼 W를 회전시켜 편심량을 광학적으로 구하여 위치 맞춤을 행하는 오리엔터(14)가 설치되어 있다.
반입출부(2)에 있어서, 웨이퍼 W는, 반송 암(11a, 11b)에 의해 유지되고, 제 1 웨이퍼 반송 기구(11)의 구동에 의해 대략 수평면 내에서 직진 이동, 또는 승강되는 것에 의해, 소망하는 위치에 반송된다. 그리고, 탑재대(13) 위의 캐리어 C, 오리엔터(14), 로드록실(3)에 대하여 각각 반송 암(11a, 11b)이 진퇴하는 것에 의해, 반입출되도록 되어 있다.
각 로드록실(3)은, 반송실(12)과의 사이에 각각 게이트 밸브(16)가 개재된 상태에서, 반송실(12)에 각각 연결되어 있다. 각 로드록실(3) 내에는, 웨이퍼 W를 반송하는 제 2 웨이퍼 반송 기구(17)가 마련되어 있다. 또한, 로드록실(3)은, 소정의 진공도까지 진공 흡인 가능하게 구성되어 있다.
제 2 웨이퍼 반송 기구(17)는, 다관절 암 구조를 갖고 있고, 웨이퍼 W를 대략 수평으로 유지하는 픽(pick)(도시되어 있지 않음)을 갖고 있다. 이 제 2 웨이퍼 반송 기구(17)에 있어서는, 다관절 암을 움츠린 상태에서 픽이 로드록실(3) 내에 위치하고, 다관절 암을 펴는 것에 의해, 픽이 PHT 처리 장치(4)에 도달하고, 더 펴는 것에 의해 COR 처리 장치(5)에 도달하는 것이 가능하게 되어 있고, 웨이퍼 W를 로드록실(3), PHT 처리 장치(4), 및 COR 처리 장치(5) 사이에서 반송하는 것이 가능하게 되어 있다.
PHT 처리 장치(4)는, 도 2에 나타내는 바와 같이, 진공 흡인 가능한 챔버(20)와, 그 안에서 웨이퍼 W를 탑재하는 탑재대(23)를 갖고, 탑재대(23)에는 히터(24)가 매설되어 있고, 이 히터(24)에 의해 COR 처리가 실시된 후의 웨이퍼 W를 가열하여 COR 처리에 의해 생성한 반응 생성물을 기화(승화)시키는 PHT 처리를 행한다. 챔버(20)의 로드록실(3)측에는, 로드록실(3)과의 사이에서 웨이퍼를 반송하는 반입출구(20a)가 마련되어 있고, 이 반입출구(20a)는 게이트 밸브(22)에 의해 개폐 가능하게 되어 있다. 또한, 챔버(20)의 COR 처리 장치(5)측에는 COR 처리 장치(5)와의 사이에서 웨이퍼 W를 반송하는 반입출구(20b)가 마련되어 있고, 이 반입출구(20b)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다. 또한, 챔버(20)에 예컨대 질소 가스(N2) 등의 불활성 가스를 공급하는 가스 공급로(25)를 구비한 가스 공급 기구(26), 및 챔버(20) 내를 배기하는 배기로(27)를 구비한 배기 기구(28)가 구비되어 있다. 가스 공급로(25)는, 질소 가스 공급원(30)에 접속되어 있다. 그리고, 가스 공급로(25)에는, 유로의 개폐 동작 및 질소 가스의 공급 유량의 조절이 가능한 유량 조정 밸브(31)가 마련되어 있다. 배기 기구(28)의 배기로(27)에는, 개폐 밸브(32) 및 진공 펌프(33)가 마련되어 있다.
COR 처리 장치(5)는, 도 3에 나타내는 바와 같이, 밀폐 구조의 챔버(40)를 구비하고 있고, 챔버(40)의 내부에는, 웨이퍼 W를 대략 수평으로 한 상태로 탑재시키는 탑재대(42)가 마련되어 있다. 또한, COR 처리 장치(5)에는, 챔버(40)에 HF 가스 및 NH3 가스 등을 공급하는 가스 공급 기구(43), 챔버(40) 내를 배기하는 배기 기구(44)가 마련되어 있다.
챔버(40)는, 챔버 본체(51)와 덮개부(52)에 의해 구성되어 있다. 챔버 본체(51)는, 대략 원통 형상의 측벽부(51a)와 저부(51b)를 갖고, 상부는 개구가 되어 있고, 이 개구가 덮개부(52)로 덮인다. 측벽부(51a)와 덮개부(52)는, 실(seal) 부재(도시하지 않음)에 의해 봉지되어, 챔버(40) 내의 기밀성이 확보된다.
측벽부(51a)에는, PHT 처리 장치(4)의 챔버(20)에 대하여 웨이퍼 W를 반입출하는 반입출구(53)가 마련되어 있고, 이 반입출구(53)는 게이트 밸브(54)에 의해 개폐 가능하게 되어 있다.
덮개부(52)는, 바깥쪽을 구성하는 덮개 부재(55)와, 덮개 부재(55)의 안쪽으로 끼워 넣어져, 탑재대(42)에 면하도록 마련된 샤워 헤드(56)를 갖고 있다. 샤워 헤드(56)는 원통 형상을 이루는 측벽(57a)과 상부벽(57b)을 갖는 본체(57)와, 본체(57)의 저부에 마련된 샤워 플레이트(58)를 갖고 있다. 본체(57)와 샤워 플레이트(58)로 형성되는 공간에는, 샤워 플레이트(58)와 평행하게 플레이트(59)가 마련되어 있고, 본체(57)의 상부벽(57b)과 플레이트(59)의 사이는 제 1 공간(60a)으로 되어 있고, 플레이트(59)와 샤워 플레이트(58)의 사이는 제 2 공간(60b)으로 되어 있다.
제 1 공간(60a)에는, 가스 공급 기구(43)의 제 1 가스 공급 배관(71)이 삽입되어 있고, 제 1 공간(60a)으로 이어지는 복수의 가스 통로(61)가 플레이트(59)로부터 샤워 플레이트(58)로 연장되고 있다. 이 가스 통로(61)는, 샤워 플레이트(58)에 형성된 복수의 제 1 가스 토출 구멍(62)으로 이어지고 있다. 한편, 제 2 공간(60b)에는, 가스 공급 기구의 제 2 가스 공급 배관(72)이 삽입되어 있고, 이 제 2 공간(60b)에는, 샤워 플레이트(58)에 형성된 복수의 제 2 가스 토출 구멍(63)이 이어지고 있다.
그리고, 제 1 가스 공급 배관(71)으로부터 제 1 공간(60a)에 공급된 가스가 가스 통로(61) 및 제 1 가스 토출 구멍(62)을 거쳐 챔버(40) 내에 토출된다. 또한, 제 2 가스 공급 배관(72)으로부터 제 2 공간(60b)에 공급된 가스가 제 2 가스 토출 구멍(63)으로부터 토출된다.
탑재대(42)는, 평면에서 볼 때 대략 원형을 이루고 있고, 챔버(40)의 저부(51b)에 고정되어 있다. 탑재대(42)의 내부에는, 탑재대(42)의 온도를 조절하는 온도 조절기(65)가 마련되어 있다. 온도 조절기(65)는, 예컨대 온도 조절용 매체(예컨대 물 등)가 순환하는 관로를 구비하고 있고, 이와 같은 관로 내에 흐르는 온도 조절용 매체와 열교환이 행해지는 것에 의해, 탑재대(42)의 온도가 조절되어, 탑재대(42) 위의 웨이퍼 W의 온도 제어가 이루어진다.
가스 공급 기구(43)는, 상술한 제 1 가스 공급 배관(71) 및 제 2 가스 공급 배관(72)을 갖고 있고, 또한 이들 제 1 가스 공급 배관(71) 및 제 2 가스 공급 배관(72)에 각각 접속된 HF 가스 공급원(73) 및 NH3 가스 공급원(74)을 갖고 있다. 또한, 제 1 가스 공급 배관(71)에는 제 3 가스 공급 배관(75)이 접속되고, 제 2 가스 공급 배관(72)에는 제 4 가스 공급 배관(76)이 접속되어 있고, 이들 제 3 가스 공급 배관(75) 및 제 4 가스 공급 배관(76)에는, 각각 Ar 가스 공급원(77) 및 N2 가스 공급원(78)이 접속되어 있다. 제 1~제 4 가스 공급 배관(71, 72, 75, 76)에는 유로의 개폐 동작 및 유량 제어를 행하는 유량 제어기(79)가 마련되어 있다. 유량 제어기(79)는 예컨대 개폐 밸브 및 매스 플로 컨트롤러에 의해 구성되어 있다.
그리고, HF 가스 및 Ar 가스는, 제 1 가스 공급 배관(71), 제 1 공간(60a) 및 가스 통로(61)를 거쳐 제 1 가스 토출 구멍(62)으로부터 챔버(40) 내에 토출되고, NH3 가스 및 N2 가스는, 제 2 가스 공급 배관(72) 및 제 2 공간(60b)을 거쳐 제 2 가스 토출 구멍(63)으로부터 챔버(40) 내에 토출된다.
상기 가스 중 HF 가스와 NH3 가스는 반응 가스이고, 이들은 샤워 헤드(56)로부터 토출될 때까지 혼합되는 일 없이, 챔버(40) 내에서 처음으로 혼합되도록 되어 있다. Ar 가스 및 N2 가스는 희석 가스이다. 그리고, 챔버(40) 내에, 반응 가스인 HF 가스 및 NH3 가스와, 희석 가스인 Ar 가스 및 N2 가스를 소정 유량으로 도입하여 챔버(40) 내를 소정 압력으로 유지하면서, HF 가스 및 NH3 가스와 웨이퍼 W 위에 형성된 산화막(SiO2)을 반응시켜, 반응 생성물로서 플루오르규산암모늄(AFS)을 생성시킨다.
희석 가스로서는, Ar 가스뿐, 또는 N2 가스뿐이더라도 좋고, 또한, 다른 불활성 가스를 이용하더라도, Ar 가스, N2 가스 및 다른 불활성 가스의 2종 이상을 이용하더라도 좋다.
배기 기구(44)는, 챔버(40)의 저부(51b)에 형성된 배기구(81)로 이어지는 배기 배관(82)을 갖고 있고, 또한, 배기 배관(82)에 마련된, 챔버(40) 내의 압력을 제어하기 위한 자동 압력 제어 밸브(APC)(83) 및 챔버(40) 내를 배기하기 위한 진공 펌프(84)를 갖고 있다.
챔버(40)의 측벽부(51a)에는, 챔버(40) 내의 압력을 계측하기 위한 압력계로서의 2개의 커패시턴스 마노미터(86a, 86b)가 마련되어 있다. 커패시턴스 마노미터(86a)는 고압력용, 커패시턴스 마노미터(86b)는 저압력용으로 되어 있다.
COR 처리 장치(5)를 구성하는 챔버(40), 탑재대(42) 등의 각종 구성 부품의 재질로서는, Al이 이용되고 있다. 챔버(40)를 구성하는 Al재는 순수한 것이더라도 좋고, 내면(챔버 본체(51)의 내면, 샤워 헤드(56)의 하면 등)에 양극 산화 처리를 실시한 것이더라도 좋다. 한편, 탑재대(42)를 구성하는 Al의 표면은 내마모성이 요구되므로, 양극 산화 처리를 행하여 표면에 내마모성이 높은 산화 피막(Al2O3)을 형성하는 것이 바람직하다.
도 1에 나타내는 바와 같이, 처리 시스템(1)은 제어부(90)를 갖고 있다. 제어부(90)는, 처리 시스템(1)의 각 구성부를 제어하는 마이크로프로세서(컴퓨터)를 구비한 컨트롤러를 갖고 있다. 컨트롤러에는, 오퍼레이터가 처리 시스템(1)을 관리하기 위해 커맨드의 입력 조작 등을 행하는 키보드나, 처리 시스템(1)의 가동 상황을 가시화하여 표시하는 디스플레이 등이 접속되어 있다. 또한, 컨트롤러에는, 처리 시스템(1)에서 실행되는 각종 처리, 예컨대 COR 처리 장치(5)에 있어서의 처리 가스의 공급이나 챔버(40) 내의 배기 등을 컨트롤러의 제어로 실현하기 위한 제어 프로그램이나 처리 조건에 따라 처리 시스템(1)의 각 구성부에 소정의 처리를 실행시키기 위한 제어 프로그램인 처리 레시피나, 각종 데이터베이스 등이 저장된 기억부가 접속되어 있다. 레시피는 기억부 내의 적당한 기억 매체에 기억되어 있다. 그리고, 필요에 따라서, 임의의 레시피를 기억부로부터 호출하여 컨트롤러에 실행시키는 것에 의해, 컨트롤러의 제어하에서, 처리 시스템(1)에서의 소망하는 처리가 행해진다.
다음으로, 이와 같은 처리 시스템(1)을 이용한 본 실시 형태의 가스 처리 방법에 대하여 설명한다.
본 실시 형태에서는, 웨이퍼 W의 표면에 존재하는 패턴화된 실리콘 산화막을 에칭한다. 예컨대, 도 4에 나타내는 바와 같은, 열산화막(202)을 갖는 실리콘 기판(201)에 쉘로우 트렌치(203)를 형성하고, 쉘로우 트렌치(203)를, TEOS를 이용한 CVD에 의해 실리콘 산화막(TEOS-SiO2막)(204)으로 메운 쉘로우 트렌치 아이솔레이션(STI) 구조의 웨이퍼 W를 준비하고, 표면에 잔존하고 있는 패턴 형상의 열산화막(202)을 처리 시스템(1)에 의해 에칭한다.
최초로, 도 4에 나타내는 상태 웨이퍼 W를 캐리어 C 내에 수납하고, 처리 시스템(1)에 반송한다. 처리 시스템(1)에 있어서는, 대기측의 게이트 밸브(16)를 연 상태로 반입출부(2)의 캐리어 C로부터 제 1 웨이퍼 반송 기구(11)의 반송 암(11a, 11b)의 어느 것인가에 의해 웨이퍼 W를 1매 로드록실(3)에 반송하고, 로드록실(3) 내의 제 2 웨이퍼 반송 기구(17)의 픽에 전달한다.
그 후, 대기측의 게이트 밸브(16)를 닫아 로드록실(3) 내를 진공 배기하고, 다음에 게이트 밸브(22 및 54)를 열어, 픽을 COR 처리 장치(5)까지 늘려 탑재대(42)에 웨이퍼 W를 탑재한다.
그 후, 픽을 로드록실(3)에 되돌리고, 게이트 밸브(22 및 54)를 닫아, 챔버(40) 내를 밀폐 상태로 한다. 이 상태에서, 온도 조절기(65)에 의해 탑재대(42) 위의 웨이퍼 W의 온도를 소정의 목표치(예컨대 20~40℃)로 조절하고, 가스 공급 기구(43)로부터, HF 가스 및 Ar 가스를, 제 1 가스 공급 배관(71), 제 1 공간(60a) 및 가스 통로(61)를 거쳐 제 1 가스 토출 구멍(62)으로부터 챔버(40) 내에 토출하고, NH3 가스 및 N2 가스를, 제 2 가스 공급 배관(72) 및 제 2 공간(60b)을 거쳐 제 2 가스 토출 구멍(63)으로부터 챔버(40) 내에 토출한다.
이것에 의해, HF 가스 및 NH3 가스는, 샤워 헤드(56) 내에서 혼합되는 일 없이 챔버(40) 내에 공급되고, 챔버(40) 내의 분위기는 HF 가스와 NH3 가스를 포함하는 분위기가 되어, 웨이퍼 W의 표면에 잔존하는 열산화막(202)이 선택적으로 이들과 반응한다.
즉, 열산화막(202)은, 불화수소 가스의 분자 및 암모니아 가스의 분자와 화학 반응하여, 반응 생성물로서 플루오르규산암모늄(AFS)이나 물 등이 생성되어, 웨이퍼 W의 표면에 유지된 상태가 된다.
이와 같은 처리가 종료된 후, 게이트 밸브(22, 54)를 열고, 제 2 웨이퍼 반송 기구(17)의 픽에 의해 탑재대(42) 위의 처리 후의 웨이퍼 W를 받아, PHT 처리 장치(4)의 챔버(20) 내의 탑재대(23) 위에 탑재한다. 그리고, 픽을 로드록실(3)에 퇴피시키고, 게이트 밸브(22, 54)를 닫고, 챔버(20) 내에 N2 가스를 도입하면서, 히터(24)에 의해 탑재대(23) 위의 웨이퍼 W를 가열한다. 이것에 의해, 상기 COR 처리에 의해 발생한 반응 생성물이 가열되어 기화되고, 제거된다.
이와 같이, COR 처리 후, PHT 처리를 행하는 것에 의해, 드라이 분위기에서 열산화막(202)을 제거할 수 있어, 워터마크 등이 생기지 않는다. 또한, 플라즈마리스로 에칭할 수 있으므로 데미지가 적은 처리가 가능하게 된다. 또한, TEOS-SiO2막에 대하여 선택비가 높은 에칭이 가능하다. 또한, COR 처리는, 소정 시간 경과 후, 에칭이 진행되지 않게 되므로, 오버에칭을 가하더라도 반응이 진행되지 않아, 엔드 포인트 관리가 불필요하게 된다.
그런데, COR 처리는, 종래, 챔버 내의 압력이 10~100mTorr(1.33~13.3㎩), 반도체 웨이퍼의 온도가 20~40℃, 전체 가스의 유량이 100~200sccm(mL/min)으로 하는 조건이 채용되고 있었지만, 패턴의 미세화와 함께 패턴 저면에서의 에칭 레이트가 감소하고, 패턴 저면에서 산화 실리콘막이 잔존하여 버린다고 하는 문제가 발생하는 일이 있다. 도 5는 50㎚ 이하의 패턴에 대하여, 종래 조건으로 COR 처리를 행한 후에 열처리하여 에칭했을 때의 패턴의 상태를 나타내는 도면이다. 이 도면에 나타내는 바와 같이, 에칭에 의해 얻어진 패턴(205)의 저부에 열산화막의 에칭 잔여물(206)이 발생하고 있다.
이와 같은 에칭 잔여물은, 통상, 처리의 온도를 상승시켜 에칭 레이트를 상승시키는 것에 의해 해소된다.
그러나, 온도를 상승시키면, 도 6에 나타내는 바와 같이, 에칭 잔여물은 해소되지만, 에칭의 형상성이 악화되어 버리는 것이 판명되었다.
그래서, 에칭성과 에칭의 형상성을 양립할 수 있도록 검토했다.
그 결과, 온도 및 반응 가스의 양을 기본적으로 변화시키지 않고, 압력을 상승시키는 것에 의해, 에칭성과 에칭의 형상성을 양립할 수 있는 것이 발견되었다.
즉, 온도가 20~40℃에서는, 반응종(HF 및 NH3)은 흡착하기 쉽기 때문에, 열산화막의 패턴 에칭시에, 패턴의 저부에서 에칭이 진행되기 어려워져, 에칭 잔여물이 발생한다. 이것을 방지하기 위해 온도를 상승시키면, 흡착보다 반응이 지배적이 되기 때문에, 에칭 잔여물을 발생시키지 않고 열산화막을 에칭할 수 있다. 그러나, 온도를 상승시키는 것에 의해, TEOS-SiO2막도 에칭되어, 선택성이 높은 에칭이 곤란하게 되어 에칭의 형상성(수직성)이 악화되어 버린다.
이것에 비하여, 온도를 올리지 않고 압력을 올린 경우에는, 반응종의 평균 자유 행정이 짧아지기 때문에, 반응종의 이동이 제한되어 직진성이 높아져, 패턴 저부의 열산화막에 유효하게 작용함과 아울러 에칭의 수직성이 보다 높아지는 것이다.
이 때문에, 본 실시 형태에서는, 챔버(40) 내의 압력을 상승시키는 것에 의해, 에칭 잔여물을 해소함과 아울러, 에칭의 형상성을 양호한 것으로 한다. 즉, 반응 가스인 HF 가스 및 NH3 가스의 유량 및 처리 온도를 유지한 채로, 희석 가스의 양을 증가시켜 챔버(40) 내의 압력을 상승시키는 것에 의해, 에칭성 및 에칭의 형상성을 상승시킬 수 있다.
구체적인 조건으로서는, 처리 온도 : 40℃ 이하, 바람직하게는 35℃ 이하, HF 가스 유량 : 10~100sccm(mL/min), NH3 가스 유량 : 10~100sccm(mL/min)으로 하고, 희석 가스인 Ar 가스 및 N2 가스의 총 유량을 증가시켜, 챔버(40) 내의 압력을 200mTorr(26.7㎩) 이상으로 하는 것이 바람직하다. 보다 바람직하게는 300mTorr(40.0㎩) 이상이다.
이때의 희석 가스인 Ar 가스 및 N2 가스의 총 유량은, 500sccm(mL/min) 이상인 것이 바람직하고, 800sccm(mL/min) 이상인 것이 보다 바람직하다. 또한, HF 가스 분압 : 5~50mTorr(0.67~6.7㎩), NH3 가스 분압 : 5~50mTorr(0.67~6.7㎩), 희석 가스 분압 : 200mTorr(26.7㎩) 이상인 것이 바람직하다.
실제로, 온도 : 40℃ 이하, HF 가스의 유량 : 10~100sccm(mL/min), NH3 가스의 유량 : 10~100sccm(mL/min)으로 고정하고, 챔버 내의 압력을 변화시켜 COR 처리를 행했다. 조건 A는, 챔버 내의 압력을 10~100mTorr로 한 종래 조건, 조건 B는, 챔버 내의 압력을 200mTorr 이상으로 한 고압력 조건이다. 그 후, PHT 처리 장치로 열처리를 행하여, 반응 생성물인 AFS를 제거했다.
도 7 및 도 8은 이들 조건으로 에칭을 행한 후의 패턴의 상태를 나타내는 모식도이다. 이들에 나타내는 바와 같이, 패턴(205)의 수직성은 모두 양호하지만, 종래 조건인 조건 A에서는, 패턴 사이즈가 50㎚보다 클 때에는, 패턴(205)의 저부에 둥근 부분이 형성되는 정도이지만, 패턴 사이즈가 50㎚보다 작을 때에는, 열산화막의 에칭 잔여물(206)이 발생했다. 이것에 비하여, 조건 B에서는 패턴 사이즈가 50㎚보다 작더라도 열산화막의 에칭 잔여물이 발생하지 않았다. 이것으로부터, 에칭 잔여물과 형상성을 양립하기 위해서는, 고압화가 유효한 것이 확인되었다.
이상과 같이, 본 실시 형태에 의하면, 희석 가스의 양을 조정하여, 챔버 내의 압력을 조정하므로, 에칭 잔여물이 존재하지 않고, 또한 수직성이 높은 에칭을 행할 수 있다.
또, 본 발명은 상기 실시 형태로 한정되는 일 없이 여러 가지 변형 가능하다. 예컨대, 상기 실시 형태에서는, 쉘로우 트렌치 아이솔레이션 구조의 열산화막의 에칭에 본 발명을 적용했지만, 다른 구조에 있어서의 실리콘 산화막의 에칭에도 적용할 수 있고, 열산화막에 한하지 않고, 다른 실리콘 산화막의 에칭에 적용하는 것도 가능하다. 또한, 희석 가스로서 Ar 가스 및 N2 가스를 이용했지만, Ar 가스뿐, 또는 N2 가스뿐이더라도 좋고, 또한, 다른 불활성 가스를 이용하더라도, Ar 가스, N2 가스 및 다른 불활성 가스의 2종 이상을 이용하더라도 좋다. 또한, 상기 실시 형태에서는, 피처리체를 1매씩 연속적으로 반송하는 예에 대하여 나타냈지만, 2매 이상씩 연속하여 반송하는 것이더라도 좋다.
1 : 처리 시스템 2 : 반입출부
3 : 로드록실 4 : PHT 처리 장치
5 : COR 처리 장치 11 : 제 1 웨이퍼 반송 기구
17 : 제 2 웨이퍼 반송 기구 40 : 챔버
43 : 가스 공급 기구 44 : 배기 기구
56 : 샤워 헤드 73 : HF 가스 공급원
74 : NH3 가스 공급원 77 : Ar 가스 공급원
78 : N2 가스 공급원 86a, 86b : 커패시턴스 마노미터
90 : 제어부 201 : 실리콘 기판
202 : 열산화막 203 : 쉘로우 트렌치
204 : TEOS-SiO2막 205 : 패턴
206 : 에칭 잔여물 W : 반도체 웨이퍼

Claims (7)

  1. 열산화막과 CVD(화학적 기상 증착)에 의해 형성된 CVD 산화막이 나란히 배치된 패턴 형상의 실리콘 산화막이 표면에 형성된 피처리체를 챔버 내에 수용하고,
    상기 챔버 내에 반응 가스인 HF 가스 및 NH3 가스, 및 희석 가스를 공급하여, 상기 열산화막과 상기 CVD 산화막을 동시에 상기 HF 가스 및 상기 NH3 가스에 노출시켜 상기 패턴 형상의 실리콘 산화막과 상기 HF 가스 및 상기 NH3 가스를 반응시키는 반응 처리를 행하고,
    상기 반응 처리에 의해 생성한 반응 생성물을 가열 처리해서 분해 제거하여 에칭하는
    산화물 에칭 방법으로서,
    상기 반응 처리 동안 상기 열산화막이 상기 CVD 산화막에 대해 선택적으로 에칭되고, 상기 가열 처리 후 에칭 잔여물이 존재하지 않고 또한 상기 피처리체의 에칭 형상의 수직성이 개선되도록, 상기 반응 처리 동안 상기 챔버의 압력은 200mTorr 이상으로 설정하고, 상기 피처리체의 온도는 40℃ 이하로 설정하는
    산화물 에칭 방법.
  2. 삭제
  3. 제 1 항에 있어서,
    상기 반응 처리의 피처리체의 온도를 35℃ 이하로 하는 산화물 에칭 방법.
  4. 제 1 항에 있어서,
    상기 반응 처리를 행할 때에, 상기 챔버 내의 HF 가스의 분압이 5~50mTorr, NH3 가스의 분압이 5~50mTorr, 희석 가스의 분압이 200mTorr 이상이 되도록, 상기 HF 가스, 상기 NH3 가스, 및 상기 희석 가스의 유량을 조정하는 산화물 에칭 방법.
  5. 제 1 항에 있어서,
    상기 반응 처리 중의, 상기 챔버 내의 압력이 300mTorr 이상으로 설정되는 산화물 에칭 방법.
  6. 제 1 항에 있어서,
    상기 피처리체는 상기 CVD 산화막이 충전되어 있는 쉘로우 트렌치 아이솔레이션(Shallow Trench Isolation) 구조를 포함하는 산화물 에칭 방법.
  7. 제 1 항에 있어서,
    상기 CVD 산화막은 TEOS(Tetraethyl Orthosilicate)를 이용해서 형성되는 산화물 에칭 방법.
KR1020147032540A 2012-05-23 2013-04-04 산화물 에칭 방법 KR101707295B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2012117990 2012-05-23
JPJP-P-2012-117990 2012-05-23
PCT/JP2013/060294 WO2013175872A1 (ja) 2012-05-23 2013-04-04 ガス処理方法

Publications (2)

Publication Number Publication Date
KR20150022773A KR20150022773A (ko) 2015-03-04
KR101707295B1 true KR101707295B1 (ko) 2017-02-15

Family

ID=49623576

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020147032540A KR101707295B1 (ko) 2012-05-23 2013-04-04 산화물 에칭 방법

Country Status (5)

Country Link
US (1) US9384993B2 (ko)
JP (1) JP6110848B2 (ko)
KR (1) KR101707295B1 (ko)
TW (1) TWI600084B (ko)
WO (1) WO2013175872A1 (ko)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10290553B2 (en) 2015-06-24 2019-05-14 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
WO2017136306A1 (en) * 2016-02-01 2017-08-10 Tokyo Electron Limited System and method of determining process completion of post heat treatment of a dry etch process
JP6561093B2 (ja) * 2017-07-24 2019-08-14 東京エレクトロン株式会社 シリコン酸化膜を除去する方法
US10607851B2 (en) 2017-08-25 2020-03-31 Micron Technology, Inc. Vapor-etch cyclic process
JP7113681B2 (ja) * 2018-06-28 2022-08-05 株式会社日立ハイテク エッチング処理方法およびエッチング処理装置
US10854442B2 (en) 2018-06-29 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Orientation chamber of substrate processing system with purging function
JP2023087228A (ja) * 2021-12-13 2023-06-23 東京エレクトロン株式会社 ガス処理方法およびガス処理装置

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20080171438A1 (en) * 2007-01-11 2008-07-17 Micron Technology, Inc. Methods of uniformly removing silicon oxide, a method of removing a sacrifical oxide, and an intermediate semiconductor device structure
US7611995B2 (en) 2003-04-22 2009-11-03 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7494560B2 (en) * 2002-11-27 2009-02-24 International Business Machines Corporation Non-plasma reaction apparatus and method
JP4833512B2 (ja) 2003-06-24 2011-12-07 東京エレクトロン株式会社 被処理体処理装置、被処理体処理方法及び被処理体搬送方法
JP4860219B2 (ja) * 2005-02-14 2012-01-25 東京エレクトロン株式会社 基板の処理方法、電子デバイスの製造方法及びプログラム
US7510972B2 (en) * 2005-02-14 2009-03-31 Tokyo Electron Limited Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
US7622392B2 (en) * 2005-02-18 2009-11-24 Tokyo Electron Limited Method of processing substrate, method of manufacturing solid-state imaging device, method of manufacturing thin film device, and programs for implementing the methods
JP2007201168A (ja) * 2006-01-26 2007-08-09 Sony Corp 自然酸化膜の除去方法及び半導体装置の製造方法
US7718032B2 (en) * 2006-06-22 2010-05-18 Tokyo Electron Limited Dry non-plasma treatment system and method of using
JP5084250B2 (ja) 2006-12-26 2012-11-28 東京エレクトロン株式会社 ガス処理装置およびガス処理方法ならびに記憶媒体
JP4949091B2 (ja) * 2007-03-16 2012-06-06 東京エレクトロン株式会社 基板処理装置、基板処理方法および記録媒体
US7899637B2 (en) * 2007-06-13 2011-03-01 Tokyo Electron Limited Method and apparatus for creating a gate optimization evaluation library
US8026180B2 (en) * 2007-07-12 2011-09-27 Micron Technology, Inc. Methods of modifying oxide spacers
US7765077B2 (en) * 2007-09-21 2010-07-27 Tokyo Electron Limited Method and apparatus for creating a Spacer-Optimization (S-O) library
JP5374039B2 (ja) * 2007-12-27 2013-12-25 東京エレクトロン株式会社 基板処理方法、基板処理装置及び記憶媒体
JP4968861B2 (ja) * 2009-03-19 2012-07-04 東京エレクトロン株式会社 基板のエッチング方法及びシステム
US20110061810A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
KR20130010362A (ko) * 2011-07-18 2013-01-28 삼성전자주식회사 반도체 장치의 제조방법

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7611995B2 (en) 2003-04-22 2009-11-03 Tokyo Electron Limited Method for removing silicon oxide film and processing apparatus
US20080171438A1 (en) * 2007-01-11 2008-07-17 Micron Technology, Inc. Methods of uniformly removing silicon oxide, a method of removing a sacrifical oxide, and an intermediate semiconductor device structure

Also Published As

Publication number Publication date
WO2013175872A1 (ja) 2013-11-28
TW201409568A (zh) 2014-03-01
JPWO2013175872A1 (ja) 2016-01-12
TWI600084B (zh) 2017-09-21
US20150079801A1 (en) 2015-03-19
US9384993B2 (en) 2016-07-05
KR20150022773A (ko) 2015-03-04
JP6110848B2 (ja) 2017-04-05

Similar Documents

Publication Publication Date Title
KR101707295B1 (ko) 산화물 에칭 방법
KR101853522B1 (ko) 에칭 방법 및 기억 매체
KR102181910B1 (ko) 에칭 방법 및 잔사 제거 방법
JP5374039B2 (ja) 基板処理方法、基板処理装置及び記憶媒体
KR101165970B1 (ko) 기판의 에칭 방법 및 시스템
KR101790406B1 (ko) 에칭 방법 및 기억 매체
KR101802595B1 (ko) 에칭 방법 및 기억 매체
KR102441239B1 (ko) 에칭 방법
TWI608536B (zh) Etching method and memory medium
TWI806835B (zh) 蝕刻方法及dram電容器之製造方法
CN108352309B (zh) 基板处理方法和基板处理装置
KR101933331B1 (ko) 에칭 방법
KR101802580B1 (ko) 에칭 방법 및 기억 매체
KR101678266B1 (ko) 반도체 장치의 제조 방법 및 제조 장치
KR101716535B1 (ko) 에칭 장치 및 에칭 방법
KR101715460B1 (ko) 가스 처리 방법
JP2015073035A (ja) エッチング方法
WO2020066172A1 (ja) エッチング方法、エッチング残渣の除去方法、および記憶媒体
KR20230040358A (ko) 에칭 방법 및 에칭 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant