TWI624860B - 含氧之陶瓷硬遮罩及相關濕式清潔 - Google Patents

含氧之陶瓷硬遮罩及相關濕式清潔 Download PDF

Info

Publication number
TWI624860B
TWI624860B TW102146997A TW102146997A TWI624860B TW I624860 B TWI624860 B TW I624860B TW 102146997 A TW102146997 A TW 102146997A TW 102146997 A TW102146997 A TW 102146997A TW I624860 B TWI624860 B TW I624860B
Authority
TW
Taiwan
Prior art keywords
hard mask
mask film
oxygen
forming
containing ceramic
Prior art date
Application number
TW102146997A
Other languages
English (en)
Other versions
TW201440123A (zh
Inventor
喬治 安組 安東內利
艾莉絲 霍利斯特
瑟利西 瑞迪
Original Assignee
蘭姆研究公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 蘭姆研究公司 filed Critical 蘭姆研究公司
Publication of TW201440123A publication Critical patent/TW201440123A/zh
Application granted granted Critical
Publication of TWI624860B publication Critical patent/TWI624860B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67259Position monitoring, e.g. misposition detection or presence detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

一種在半導體基板上形成含氧陶瓷硬遮罩薄膜的方法包含:在電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)處理腔室中接收半導體基板、及藉由PECVD在基板上沉積形成含氧陶瓷硬遮罩薄膜,此薄膜對低介電常數(低k)介電質及銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除。此方法可更包含:以濕式蝕刻將含氧陶瓷硬遮罩薄膜由基板移除。對應之薄膜及設備亦被提供。

Description

含氧之陶瓷硬遮罩及相關濕式清潔 【相關申請案之交互參照】
本申請案主張美國臨時專利申請案第61/738,599號的優先權,該優先權基礎案申請於2012年12月18日,且名為「OXYGEN-CONTAINING CERAMIC HARD MASKS AND ASSOCIATED WET-CLEANS」,其藉由參考其整體內容而合併於本文中以供所有目的。
本發明係關於用在半導體處理中的硬遮罩薄膜。本發明亦涉及用於形成及移除此種薄膜的方法與設備。
硬遮罩薄膜在微影圖案化期間,例如在鑲嵌(Damascene)製程中溝槽及/或貫孔之形成期間,一般係用來當作犧牲層。在鑲嵌製程中,通常係將硬遮罩薄膜沉積至需要被圖案化的介電質層上。將光阻層沉積於此硬遮罩薄膜上(具有被沉積於硬遮罩及光阻之間的可選抗反射層),並依所需將此光阻圖案化。在此光阻被顯影後,將位於圖案下方的曝露之硬遮罩薄膜移除,並蝕刻曝露之介電質,俾以形成所需尺寸的凹陷特徵部。剩餘的硬遮罩用於保護需要在蝕刻製程期間被保留的那些部分的介電質。因此,硬遮罩材料必須具有相對於介電質良好的蝕刻選擇性。使用鹵素基(halogen-based)電漿化學物質的反應離子蝕刻(reactive ion etching,RIE)通常係用於介電質之蝕刻。
所蝕刻的凹陷特徵部接著被導電材料(例如銅)填滿,而 形成積體電路的導電路徑。通常,在凹陷特徵部被填滿後,會將硬遮罩材料由此部分製造之半導體基板完全移除。
在前段製程(front-end-of-line,FEOL)與後段製程(back-end-of-line,BEOL)二者之圖案化方案中,硬遮罩層正變得愈加普遍。舉例來說,硬遮罩薄膜在BEOL之層間介電質(inter-level dielectric,ILD)材料的關鍵圖案化應用中,常用作輔助物。這些硬遮罩薄膜應具有相對於ILD材料高的蝕刻選擇性、相容於基本微影製程、及能夠在不損傷下方ILD層的情況下被移除。目前,在BEOL的低介電常數(低k)介電質應用中,常使用TiN作為硬遮罩,此乃由於其具有相對於低k介電質非常高的蝕刻選擇性,此有助於最後將其移除。然而,存有與此種金屬基(metal-based)硬遮罩相關的一些整合上問題:(a)在蝕刻製程期間,被形成以保護低k ILD之側壁的聚合物會與金屬基硬遮罩發生反應,而形成會造成缺陷問題的金屬聚合物殘留物;(b)與弱機械特性之低k介電質耦合的TiN中的高壓縮應力會導致屈曲(buckling)現象;及(c)需要有相對於底層不同的蝕刻平台供硬遮罩用,其會更增加成本。
本發明提供具有經改善之特性的硬遮罩薄膜及其製造與移除方法。在微影應用中,需要具有低應力的硬遮罩材料,此乃由於具有高度壓縮或拉伸應力的材料會導致基板上之硬遮罩薄膜的屈曲(buckling)或剝離,且,因此,會導致微影中的劣質圖案對準。除了低應力外,為了充分保護下方材料,硬遮罩材料應具有高硬度及/或高楊氏模數,因為硬度與模數通常係與高蝕刻選擇性極其相關。
基於PECVD的陶瓷硬遮罩係傾向為化學惰性,藉此可在濕式化學或化學機械研磨(chemical mechanical polishing,CMP)期間具有非常低的移除率。然而,某些陶瓷,除了非常硬之外,本性上可以係親水性的,且其組成可使濕式清潔及CMP化學有效。提供了使用PECVD沉積來形成、且在圖案轉移步驟後藉濕式清潔移除的含氧陶瓷硬遮罩材料。這些硬遮罩材料具有低應力及相對於低k介電質材料高的 蝕刻選擇性,這些特性使得先進圖案化變得可行,且在同一時間這些硬遮罩材料係可藉由濕式清潔化學來移除而不需要CMP,因此對這些薄膜的整合極有幫助。
在一實施態樣中,在半導體基板上形成含氧陶瓷硬遮罩薄膜的方法包含:在電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)處理腔室中接收半導體基板、及藉由PECVD在基板上沉積形成含氧陶瓷硬遮罩薄膜,此薄膜對低k介電質及銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除。此方法可更包含以濕式蝕刻將含氧陶瓷硬遮罩薄膜由基板移除。提供有特定之製程參數。
在另一實施態樣中,部分製造的半導體元件包含半導體元件基板,及設置於基板上的含氧陶瓷硬遮罩薄膜,此薄膜對低k介電質及銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除。
在又一實施態樣中,用於處理半導體基板上之硬遮罩薄膜的設備包含電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)處理腔室、在處理腔室中的半導體晶圓基板的支撐物、及具有程式指令的控制器,該支撐物用於在硬遮罩沉積期間將晶圓基板托持於適當位置中。控制器的程式指令係用於下列處理:在電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)處理腔室中接收半導體晶圓基板、及藉由PECVD在基板上形成含氧陶瓷硬遮罩薄膜,此薄膜對低k介電質及銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除。此設備可更包含濕式蝕刻處理腔室、及具有用於下列處理之程式指令的控制器:接收具有含氧陶瓷硬遮罩薄膜形成於其上的半導體晶圓基板;及以濕式蝕刻將含氧陶瓷硬遮罩薄膜由基板移除。
較佳地,在藉由濕式蝕刻化學來完成圖案化後,含氧陶瓷薄膜可輕易被移除,而不需要CMP。
在某些實施例中,於後段處理中將含氧陶瓷硬遮罩薄膜(例如上述薄膜之任一者)沉積在低k介電質層上,此低k介電質例如為具 有小於約3之介電常數的介電質,例如小於約2.8者。光阻層通常係沉積於含氧陶瓷硬遮罩之上(但不一定要直接與此硬遮罩接觸,因為抗反射層可能被沉積於其間)。進行微影圖案化,其中,凹陷特徵部(貫孔及/或溝槽)被形成於介電質層中。在完成圖案化且以金屬填滿此特徵部後,藉由濕式蝕刻製程移除硬遮罩。在某些實施例中,關於用以蝕刻貫孔及/或溝槽的乾式蝕刻化學(其通常為RIE製程),硬遮罩薄膜相對於介電質的蝕刻選擇性為至少約8:1。
在其他實施例中,在前段處理中硬遮罩薄膜(例如上述薄膜之任一者)係沉積於多晶矽層上,並用於在各種處理步驟期間保護多晶矽。在某些實施例中,硬遮罩材料未被移除而會留在所製造的元件中。
本發明之這些與其他的特徵及優點將參照相關圖式而在以下進行更詳細之說明。
101‧‧‧金屬層(銅層)
103‧‧‧第一介電質層
105‧‧‧擴散阻擋(材料)層
107‧‧‧介電質擴散阻擋層
109‧‧‧第二介電質層
111‧‧‧(介電質)緩衝層
113‧‧‧硬遮罩層
115‧‧‧第一光阻層
117‧‧‧填料層
119‧‧‧第二光阻層
121‧‧‧金屬
201‧‧‧單晶矽層
203‧‧‧氧化物層
205‧‧‧多晶矽層
207‧‧‧硬遮罩(材料)層
209‧‧‧可灰化硬遮罩
211‧‧‧光阻層
301~311‧‧‧步驟
401~411‧‧‧步驟
501~505‧‧‧步驟
601~609‧‧‧步驟
800‧‧‧反應器
802‧‧‧低頻射頻產生器
804‧‧‧高頻射頻產生器
806‧‧‧匹配網路
808‧‧‧歧管
810‧‧‧來源氣體管線
812‧‧‧入口
814‧‧‧噴淋頭
816‧‧‧基板
818‧‧‧晶圓基座
820‧‧‧加熱器區塊
822‧‧‧出口
824‧‧‧處理腔室
826‧‧‧真空幫浦
830‧‧‧(系統)控制器
901‧‧‧設備腔室
903~909‧‧‧站
911‧‧‧分度盤
1020a~1020d‧‧‧處理模組
1022‧‧‧自動機器
1024‧‧‧終端作用器
1026‧‧‧晶圓
1028‧‧‧模組中心
1030‧‧‧空氣鎖室
1032‧‧‧前端自動機器
1034‧‧‧前開式晶圓傳送盒(FOUP)
1036‧‧‧面部
1038‧‧‧真空傳輸模組(VTM)
1040‧‧‧大氣傳輸模組(ATM)
1042‧‧‧載入端口模組(LPM)
1044‧‧‧對準機
1~18‧‧‧感測器
t‧‧‧寬度
v‧‧‧寬度
圖1A-1K顯示使用本文中所提供之硬遮罩的元件結構的橫剖面圖,此元件結構係在半導體元件之製造中的說明性後段微影製程期間所產生。
圖2A-2E顯示使用本文中所提供之硬遮罩的元件結構的橫剖面圖,此元件結構係在半導體元件之製造中的說明性前段微影製程期間所產生。
圖3係適合使用本文中所提供之硬遮罩的後段微影製程的製程流程圖。
圖4係適合使用本文中所提供之硬遮罩的前段微影製程的製程流程圖。
圖5係依據本文中所提供之實施例的沉積含氧陶瓷硬遮罩之方法的製程流程圖。
圖6係依據本文中所提供之實施例使用含氧陶瓷硬遮罩的範例性處理方法的製程流程圖。
圖7係說明了以氧摻雜陶瓷薄膜作為硬遮罩之適當性的實驗圖。
圖8係依據本發明之某些實施例之PECVD設備的示意圖,該PECVD設備能夠使用低頻(low frequency,LF)及高頻(high frequency,HF)射頻電漿來源,並能用於沉積硬遮罩薄膜。
圖9係依據本發明之某些實施例之多站PECVD設備的示意圖,此多站PECVD設備適合形成硬遮罩薄膜。
圖10描繪依據所揭露實施例之多站群集工具的實施例。
介紹與概要
本發明提供用於後段及前段半導體處理應用的含氧陶瓷硬遮罩薄膜。所提供的陶瓷硬遮罩包含氧與例如為硼、磷、鍺、碳、矽、氮及氫之元素的混合物。依據本發明的硬遮罩本質通常係親水的。硼摻雜碳化物基(boron doped carbide-based)硬遮罩材料為本發明硬遮罩之一較佳物種。硼的三價本質(在其典型的鍵結狀態下帶有空的π-軌域)容易促進其網狀結構的氧化。在特定實施例中,依據本發明的含氧陶瓷硬遮罩材料至少具有5%的氧。在含氧硼摻雜碳化物基硬遮罩材料中,B:C的比例通常不超過1:1,且氧的濃度(百分比)通常受硼的濃度所限制。
可使用蝕刻化學品對含氧陶瓷硬遮罩進行濕式清潔,蝕刻化學品包含,例如,氧化劑與強酸或強鹼化合物、或水,此二者之任一者係與腐蝕抑制劑結合。相對於低k材料及銅,這些濕式清潔對於含氧陶瓷硬遮罩極具選擇性。
合適的濕式清潔化學品可具有範圍由2到13的pH值,例如,某些合適的蝕刻化學品具有介於6及10之間的pH值。這些濕式清潔可在由約20℃至100℃的溫度下進行。
氧化劑可為過氧化物來源,例如過氧化氫,其能夠在約5至50%的濃度下被使用。鹼性化合物可選自於,例如,氫氧化銨、氫 氧化四甲銨、氫氧化鉀、氫氧化鈉、羥胺類、胺類、四烷基銨氫氧化物。腐蝕抑制劑可選自於胺基酸族,其包含例如,甘胺酸或丙胺酸、三唑、硫醇基-三唑、及咪唑。
因此,本發明提供含氧陶瓷硬遮罩材料及濕式清潔,以幫助將陶瓷硬遮罩處理解決方案整合於邏輯與記憶體應用兩者之中。可修改硬遮罩的化學組成(即,將氧併入的程度),以促進在特定之濕式蝕刻化學品中之選擇性移除,同時能保持所需之硬遮罩的薄膜特性。
所提供之薄膜在用於貫孔及/或溝槽蝕刻的化學品中,具有相對於介電質(例如相對於具有3.0以下,像是2.8以下、或2.4以下之介電常數的介電質)高的蝕刻選擇性。範例蝕刻化學包含使用形成於製程氣體中之電漿的反應離子蝕刻(reactive ion etching,RIE),此製程氣體包含CxFy(例如,CF4)、惰性氣體(例如,Ar)及氧化劑(例如,O2)。可使用其他的乾式蝕刻,例如具有包含Cl2及N2之製程氣體的電漿蝕刻。在某些實施例中,可獲得至少約5:1的蝕刻選擇性,例如至少約8:1(即,硬遮罩材料的蝕刻比介電質慢至少8倍)。
可在存有本文中所提供之曝露硬遮罩材料的情況下被蝕刻的介電質包含氧化矽、碳摻雜矽氧化物(SiCOH)、矽酸四乙酯(tetraethyl orthosilicate,TEOS)沉積的氧化物、各種矽酸鹽玻璃、氫半矽氧烷(hydrogen silsesquioxane,HSQ)、甲基半矽氧烷(methylsilsesquioxane,MSQ)、以及多孔及/或有機介電質,此多孔及/或有機介電質包括聚醯亞胺、聚降冰片烯(polynorbornenes)、苯並環丁烯(benzocyclobutene)等等。所提供之硬遮罩最有利係用於機械強度弱的有機及/或多孔介電質的圖案化,此有機及/或多孔介電質具有2.8以下(例如2.4以下)的介電常數。
通常可使用多種方法來沉積本文中所述的硬遮罩材料,該等方法包含基於化學氣相沉積(chemical vapor deposition,CVD)的方法及基於物理氣相沉積(physical vapor deposition,PVD)的方法。電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)為一種特別優良的沉積方法。合適的PECVD設備包含可由Lam Research Corporation,Fremont,CA取得的SEQUEL®及VECTOR®工 具。低頻射頻(radio frequency,RF)功率代表RF功率具有介於100kHz及2MHz之間的頻率。低頻(low frequency,LF)電漿源的典型頻率範圍係介於約100kHz到500kHz之間,例如,可使用400kHz的頻率。在硬遮罩層的沉積期間,LF功率密度的範圍通常為約0.001-1.3W/cm2,在特定實施例中,為約0.1-0.7W/cm2。高頻(high frequency,HF)功率的範圍通常為約0.001-1.3W/cm2,且在特定實施例中,為約0.2-0.28W/cm2。高頻功率代表RF功率具有大於2MHz的頻率。一般而言,HF RF頻率在約2MHz-30MHz之間的範圍內。一般所使用的HF RF值包含13.56MHz及27MHz。在某些實施例中,硬遮罩的沉積包含將LF/HF功率比設定為至少約1,像是至少約1.5者,例如,至少約2者。
在PECVD沉積期間,一般會以範圍通常為由約1000sccm至約10000sccm的流率將反應物氣體或蒸氣供應至處理腔室,並使用範圍為由約20℃至約500℃的基板底座溫度,此基板底座溫度較佳為由約200℃至約450℃。在某些實施例中,低於約400℃(例如,由約200℃至約400℃)的溫度對於硬遮罩沉積係較佳的。壓力的範圍可為由約10mTorr至約100Torr,較佳為由約0.5Torr至5Torr。應當瞭解的是,前驅物的流率能夠根據基板及腔室尺寸的大小而改變。
可使用蝕刻化學品對含氧陶瓷硬遮罩進行濕式清潔,此蝕刻化學品包含,例如,氧化劑與強酸或強鹼化合物、或水,特別是熱水(例如,大於60℃,像是約100℃者),此二者之任一者係與腐蝕抑制劑結合,腐蝕抑制劑例如為包含甘胺酸或丙胺酸、三唑、硫醇基-三唑、及咪唑的胺基酸。相對於低k材料及銅,這些濕式清潔對於含氧陶瓷硬遮罩極具選擇性。
用於後段處理(Back-End Processing)中
所提供之薄膜可被用於多種硬遮罩應用中。後段處理中硬遮罩薄膜之示範用法,係藉由圖1A-1K中所示之結構及藉由圖3中所示之製程流程圖加以說明。參照圖3的說明性製程流程,此製程係藉由提供具有曝露介電質層的基板而始於操作301。基板通常為具有一或更多材料層(例如導體或介電質)的半導體(例如矽)晶圓,該等材料層係位 處於該半導體晶圓上。基板的曝露部分包含需要以貫孔及溝槽來圖案化的介電質層。本文中所提供的硬遮罩一般能用於將先前章節中所列出之多種介電質材料圖案化。使用所提供之用於將超低k(ultra low-k,ULK)介電質圖案化的硬遮罩材料係特別有利的,此ULK介電質具有2.8以下(例如2.4以下)的介電常數,並包含機械強度弱的多孔及有機介電質。如前面所說明,在許多實施例中,所提供之硬遮罩具有非常低的應力,並能顯著減少屈曲(buckling)及劣質圖案對準,其通常發生在當高應力硬遮罩材料被用來將弱機械強度的ULK介電質圖案化時。應當注意的是,在某些實施例中,機械強度較強之材料的緩衝層被用在脆弱的ULK介電質及硬遮罩之間。因此,在某些實施例中,所提供的基板具有位處於ULK材料層上的曝露緩衝層(例如機械強度較強的介電質)。例如,包含具有大於2.8之介電常數之介電質的緩衝層可位處於具有較低介電常數的機械強度較弱之介電質上。舉例來說,包含一材料的緩衝層可位處於多孔及/或有機介電質上,該材料係選自於由碳摻雜矽氧化物(SiCOH)、矽酸四乙酯(tetraethyl orthosilicate,TEOS)沉積的氧化物、各種矽酸鹽玻璃、氫半矽氧烷(hydrogen silsesquioxane,HSQ)、及甲基半矽氧烷(methylsilsesquioxane,MSQ)所組成之群組,此多孔及/或有機介電質可包含聚醯亞胺、聚降冰片烯(polynorbornenes)、苯並環丁烯(benzocyclobutene)等等。可藉由例如旋轉塗佈(spin-on)方法或PECVD來沉積ULK介電質及緩衝層介電質。在某些實施例中,係在相同的PECVD模組中沉積介電質及/或緩衝層,以及沉積於其上之硬遮罩層。對於需要用PVD模組來沉積的氮化鈦硬遮罩,這提供了額外的優勢。
在操作303中,於PECVD處理腔室中,將含氧陶瓷硬遮罩材料沉積到介電質層上(或到緩衝層上,其通常亦為介電質)。接著,可選擇地沉積一或更多抗反射層,例如底部抗反射塗佈(bottom anti-reflective coating,BARC),隨後,在操作305中將光阻沉積於硬遮罩上。應當注意的是,光阻並不一定要與硬遮罩材料直接接觸,因為通常一或更多抗反射層會位處於硬遮罩與光阻之間。接著,在操作307中,使用所沉積之硬遮罩及微影圖案化(lithographic patterning)在介電 質層中蝕刻貫孔及/或溝槽。合適之蝕刻包含先前章節中所述的RIE,其中,在存在具有蝕刻之高蝕刻選擇性的曝露硬遮罩的情況下,蝕刻介電質材料。
可使用多種微影方案來形成所需之凹陷特徵部的圖案,該等微影方案可包含多個光阻層之沉積與移除、填料層之沉積等等。這些微影方案在所屬技術領域中係為人所熟知,且將不再詳細描述。使用先定義溝槽而接著形成局部貫孔的方案,如圖1A-1K中所繪示。然而,應當瞭解的是,後段處理可使用多種其他方案。在操作309中,於形成貫孔及/或溝槽後,以金屬(例如電沉積的銅或其合金)填滿貫孔及/或溝槽,而在操作311中,係藉由濕式蝕刻來移除硬遮罩薄膜。在某些實施例中,對於硬遮罩的移除,含有過氧化物(像是含有過氧化氫的酸性漿液,例如食人魚溶液(piranha solution))的濕式蝕刻化學品係較佳的。在其他實施例中,可以水,特別是熱水(例如,高於60℃者,例如約100℃者)進行濕式蝕刻。在任一情況下,濕式蝕刻化學品亦可以包含腐蝕抑制劑,以防止在操作311期間接觸到濕式蝕刻化學品的金屬(例如銅)被腐蝕。在某些情況下,以水作為濕式蝕刻劑係較佳的,因為腐蝕抑制劑不會被水降解到其能夠存在於更具活性之酸性或鹼性濕式蝕刻化學品中的程度。
圖1A-1K依據一說明性處理方案顯示在後段處理期間的部分製造之半導體基板的橫剖面示意圖。圖1A顯示部分之半導體基板(下方的矽層及主動元件未顯示),此部分之半導體基板具有嵌於第一介電質層103(例如ULK介電質)中的銅層101,其中,擴散阻擋層105(例如,包含Ta、Ti、W、TaNx、TiNx、WNx、或其組合)位處於介電質與銅之間的界面。介電質擴散阻擋層(亦稱為蝕刻停止層)107,例如氮化矽或氮摻雜矽碳化物層,係位在銅101及介電質103的頂部上。第二介電質層109(例如旋轉塗佈或以PECVD沉積的ULK介電質)位處於介電質擴散阻擋層107的頂部上。由於介電質層109可為弱機械強度者且在硬遮罩沉積期間會受到傷害,因此會將機械強度較強的介電質緩衝層111(例如,TEOS介電質或碳摻雜矽氧化物(SiCOH))沉積至該層109上。藉由PECVD將包含本文中所述之高硬度材料的硬遮罩層113沉積到緩 衝層111上。不同於介電質擴散阻擋層107,含氧陶瓷硬遮罩層113係沉積於不包含曝露金屬的表面上。光阻層115係藉由旋轉塗佈方法而沉積於硬遮罩113上。通常,一或更多抗反射層係被直接沉積在硬遮罩與光阻之間。這些層未被示出以保持圖面清晰。
在光阻115已沉積後,使用標準微影技術將其圖案化以形成具有寬度t的開口,此開口將用以形成之後的溝槽。具有圖案化之光阻層115的所得到之結構係顯示於圖1B中。接著,位處於已移除之光阻下方的硬遮罩層113被打開(蝕刻)而形成曝露介電質111的圖案,如圖1C中所示。剩下的硬遮罩將用於在光阻移除及後續介電質蝕刻的期間保護介電質。接著,將光阻層115由此結構移除(例如,藉由灰化),而形成了具有曝露之圖案化硬遮罩113的結構。在此階段,用以形成貫孔的圖案化係開始進行。為了使貫孔圖案化,係將可包含易移除介電質(例如HSQ或MSQ)的填料層117沉積於此結構之表面上而填滿硬遮罩中的開口,如圖1E中所示。接著,將第二光阻層119沉積在填料層117之上(於其間具有可選的抗反射層),以形成圖1F中所示之結構。接著將光阻119圖案化以形成具有寬度v的開口,此開口將用於貫孔之形成,如結構1G中所示。接著,將在圖案化之光阻下方的硬遮罩移除,並在介電質109中部份蝕刻出貫孔,例如,使用RIE。將光阻119以及填料層117移除,而形成具有經部分蝕刻之貫孔及經定義之溝槽的結構,如圖1H中所示。接著,持續蝕刻介電質層111及109直到貫孔到達蝕刻停止層107,此蝕刻停止層隨即被蝕穿,而使在此貫孔底部的金屬層101曝露出來,如圖1I中所示。接著藉由PVD來保形沉積擴散阻擋材料層105,以在凹陷特徵部內及場區中為基板形成內襯。緊接著,以金屬121(例如,電沉積的銅或其合金)將此凹陷特徵部填滿,其通常具有一些過覆蓋層(overburden)在場中,而提供了圖1J中所示的結構。接著,將金屬過覆蓋層、擴散阻擋材料105、硬遮罩層113、及介電質緩衝層111由此結構的場區移除,而形成具有位處於低k介電質層109中之金屬內連線(interconnect)的部分製造元件,如圖1K中所示。在其他處理方案中,將不會移除緩衝層111而使其保留於基板上。
如下面的進一步描述,依據於本發明的含氧陶瓷硬遮罩 之移除係藉由濕式蝕刻來完成,而不需要化學機械研磨(chemical mechanical planarization,CMP)。
包含部分貫孔之形成的處理方案,如圖1A-1K中所示,說明了低k介電質的一種可能之圖案化方案。本文中所提供之硬遮罩材料可被用於多種其他處理方案中,這些其他處理方案包含先貫孔(via-first)及先溝槽(trench-first)方案二者。
用於前段處理(Front-End Processing)中
所提供之含氧陶瓷硬遮罩的另一說明性用法係在前段處理期間保護多晶矽。在主動元件(例如電晶體)的形成期間,多晶矽被廣泛用在半導體晶圓上。在某些實施例中,所提供之含氧陶瓷硬遮罩材料係被沉積至多晶矽上,並在用於主動元件製造中的各種處理操作期間,用以保護多晶矽。值得注意的是,在許多實施例中的前段處理中,所提供之硬遮罩層並未被犧牲而保留於最終元件中,且係與多晶矽相接觸。
說明性的前段處理方案係顯示於圖4之處理流程圖中,並藉由圖2A-2E中所示之部分製造結構的橫剖面示意圖作進一步說明。參照圖4,此製程起始於操作401,操作401提供基板,此基板具有位處於氧化物(例如氧化矽、氧化鉿等等)層上方的多晶矽之曝露層。在其他實施例中,多晶矽可位處於不同的主動層之上。氧化物通常係位處於單晶矽層上。為了將氧化物及多晶矽層圖案化,二硬遮罩層被沉積於多晶矽層上。將第一硬遮罩直接沉積至多晶矽層上,且此第一硬遮罩係乃如本文中所述的含氧陶瓷硬遮罩,如操作403中所示。藉由CVD技術來沉積硬遮罩,較佳係藉由PECVD來沉積,如本文中進一步所述。接著,在操作405中,將可灰化硬遮罩(例如,實質上由碳所組成的硬遮罩(具有可選擇存在的氫))沉積在第一硬遮罩上方。亦可藉由CVD技術,例如藉由使用烴前驅物的PECVD沉積,而將可灰化硬遮罩沉積。接著,將光阻層沉積在可灰化硬遮罩上,並依所需將光阻圖案化,如操作407中所示。可選擇將一或更多抗反射層沉積於可灰化硬遮罩及光阻之間,但該等抗反射層並未被顯示以保持圖面清晰。具有未 圖案化光阻的說明性結構係描繪於圖2A中,其中,層201為單晶矽層。位處於矽層201上的層203為氧化物層。位於氧化物層203之頂部上的層205為多晶矽層。本文中所述的硬遮罩材料207直接位在多晶矽205之頂部上,而可灰化硬遮罩(例如碳硬遮罩)209位處於第一硬遮罩層207之上。光阻層211位處於可灰化硬遮罩209之上(在其間的可選之抗反射層未被顯示)。在光阻圖案化後所得到的此結構係顯示於圖2B中,圖2B說明了二個位置的光阻被移除,而留下中間的部分。
再參照圖4,接下來的操作409中的製程,係在多晶矽與氧化物層中使用圖案化用之可灰化硬遮罩來蝕刻所需圖案。此乃由結構2C-2E所描繪。在結構2C中,可灰化硬遮罩層209於光阻圖案化後曝露的部分被打開(蝕刻)。接著,將光阻211完全移除,且第一硬遮罩層207、多晶矽層205、及氧化物層203未被可灰化硬遮罩層209所保護的部分均被蝕刻,而提供圖2D中所示之結構。
再參照圖4,在操作411中,可例如藉由氧電漿處理將可灰化硬遮罩移除,同時在多晶矽層上留下第一含氧陶瓷硬遮罩層。所得到的結構係顯示於圖2E中。在後續的前段處理期間,可以保留硬遮罩層207,且此硬遮罩層207能用於在各種後續操作的期間(例如在將摻雜物植入到結晶矽中的期間)保護多晶矽。應當注意的是,在所述處理序列中的硬遮罩材料並不執行實際的遮蔽(其由可灰化硬遮罩209完成),而主要係用於保護多晶矽。取決於整合方案,在後續的前段操作中,例如在清潔處理中的乾式或濕式蝕刻期間、或在被執行用來定義閘極的氧化物蝕刻期間,硬遮罩207可用於遮蔽。硬遮罩材料最後可由最終元件移除,或可保留在元件中,此乃取決於所使用之整合方案。
上述的後段與前段應用係供作示例性序列,且應當瞭解的是,所提供的材料可被用於需要高硬度材料來保護下方層的各種其他製程中。
現將詳細說明適當含氧陶瓷硬遮罩的沉積與移除。
含氧陶瓷硬遮罩薄膜之沉積與移除
在各種實施例中,係藉由使用PECVD設備的沉積處理來 提供含氧陶瓷硬遮罩薄膜。適當的沉積製程包含三種反應氣體:C2H2、CO2、及B2H6,以及載體氣體He。C2H2及B2H6形成硼碳化物薄膜。在示例性製程中,總反應物流量的數量級可為10000sccm,其大多為He。對於基材(未氧化薄膜),相對於總流量的前驅物百分比可為:He/C2H2/CO2/B2H6=86.5/10.8/0.0/2.7。根據拉塞福背向散射質譜分析法(Rutherford Backscattering Spectrometry,RBS)的量測,此種薄膜具有C/H/B=47.7/32.7/19.6之組成、並具有1.21原子/cm3的密度。可修改基材薄膜以形成依據於本發明的含氧陶瓷硬遮罩薄膜。在特定實施例中,依據於本發明的含氧陶瓷硬遮罩材料具有至少5%的氧。在含氧之硼摻雜碳化物基硬遮罩材料中,B:C的比例通常不超過1:1,而氧的濃度(百分比)通常受硼的濃度所限制。
藉由將氧化劑(例如CO2)添加到製程氣體流來提供氧化作用。舉例來說,適當的製程氣體可包含相對於總製程氣體流的下列前驅物百分比:約He 80-83%/C2H2 10-11%/CO2 5-8%/B2H6 2-3%;例如,約He 82%/C2H2 10.5%/CO2 5%/B2H6 2.5%;或例如He 80%/C2H2 10%/CO2 7.5%/B2H6 2.5%。
可使用蝕刻化學品對含氧陶瓷硬遮罩進行濕式清潔,蝕刻化學品包含,例如,氧化劑及強酸或強鹼化合物、或水,特別是熱水(例如,大於60℃,例如約100℃者),此二者之任一者係與腐蝕抑制劑結合。在某些實施例中,含有過氧化物(像是含有過氧化氫的酸性漿液,例如食人魚溶液(piranha solution))的濕式蝕刻化學品對於硬遮罩之移除係較佳的。在其他實施例中,可以水來進行濕式蝕刻,特別是熱水(例如,大於60℃,例如約100℃者)。在任一種情況下,濕式蝕刻化學品亦可包含腐蝕抑制劑,以防止在操作311期間接觸到濕式蝕刻化學品的金屬(例如銅)被腐蝕。在某些情況下,以水作為濕式蝕刻劑係較佳的,因為腐蝕抑制劑不會被水降解到其能夠存在於更具活性之酸性或鹼性濕式蝕刻化學品中的程度。相對於低k材料及銅,這些濕式清潔對於含氧陶瓷硬遮罩極具選擇性。
如下表中所示,隨著添加到製程之CO2的量增加,所產生之薄膜中氧的濃度增加,於在濕式化學品中蝕刻材料的方法中,存 有根本的改變。
雖然本發明並不受限於任何特定的作用原理,但一般相信可以是下列機制:
(1)CO2為一種弱氧化劑,其依據一般形式為:CO2→CO+½ O2的吸熱反應而在電漿中分解。
(2)在沉積製程期間,所產生的氧自由基會攻擊薄膜表面上的碳、硼、或氫活性位置。
(3)碳活性位置的氧化容易產生CO,其在大多數的業界用電漿系統中為非常穩定的氣體;因此,在所沉積薄膜中的一些碳將會被蝕刻掉。
(4)硼活性位置的氧化容易產生B-OH基團(如硼酸H3BO3或B(OH)3中所見者),其係一種固體並將會保留在薄膜中。
(5)氫活性位置的氧化將產生不穩定的氫氧根或水,其可能會被離子化而進一步將其他的碳或硼活性位置氧化。
綜上所述,CO2容易將部分的碳及氫移除,而在同時將 硼氧化。對於給定的製程,添加更多CO2通常意味更多氧化將會發生,直到到達飽和。假設此製程遠低於飽和,一般相信,7.5%(中等氧摻雜)的製程應具有比5%(低氧摻雜)的製程還多的B-OH。在高溫下薄膜變得更加水溶性的觀測支持這個結論,應注意硼酸表現出了類似的行為。在硫酸/過氧化氫溶液中蝕刻速率的降低同樣意味著更多的硼活性位置被氧化,也因此,對強氧化劑的曝露具有有限效果。
含氧陶瓷硬遮罩薄膜之形成的示例性製程流程圖係顯示於圖5中。在操作501中,將半導體基板(例如,具有曝露之介電質層或曝露之多晶矽層的基板)提供至PECVD處理腔室中。PECVD處理腔室包含用於前驅物之引入的入口、及電漿產生器。在某些實施例中,可使用雙頻RF電漿產生器,其具有HF及LF產生器零件。
將含氧硬遮罩薄膜形成於基板上,其中,沉積處理包含使製程氣體流至腔室中(操作503)並形成電漿。適當的製程氣體流包含使包括用於所產生之含氧陶瓷硬遮罩薄膜中元素之前驅物及載體氣體的製程氣體流入,所產生之含氧陶瓷硬遮罩薄膜對低k介電質及銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除。舉例來說,除了載體氣體外,製程氣體可包括含烴前驅物、含氧前驅物、含硼前驅物,像是C2H2/CO2/B2H6/He。形成電漿以將含氧陶瓷硬遮罩薄膜沉積於基板上(操作505)。在一範例中,使用HF RF頻率為約13.56MHz且LF RF頻率為約400kHz的雙頻電漿。在此範例中,HF功率密度為約0.04-0.2W/cm2,而LF功率密度為約0.17-0.6W/cm2
參照圖6,其描述在後段處理中使用含氧陶瓷硬遮罩的示例性製程流程。此製程起始於操作601,在操作601中於PECVD處理腔室中提供包含曝露之介電質層的半導體基板。此介電質層可為,例如,超低k介電質層(例如,具有介電常數小於約2.8,像是小於約2.4者)或具有較高介電常數的緩衝介電質層。
在操作603中,對低k介電質及銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除的含氧陶瓷硬遮罩薄膜被沉積於曝露之介電質上。藉由使包含適當前驅物的製程氣體流到處理腔室中並形成電漿來進行此沉積。在某些實施例中,當LF電漿的功率 密度大於HF電漿的功率密度時能得到特別好的薄膜參數,例如,在LF/HF功率比至少為約1.5時,像是至少為約2時。
在薄膜已被沉積後,於操作605中,將介電質圖案化以形成溝槽及/或貫孔,舉例來說,如參照圖1A-1K而描述者。含氧陶瓷硬遮罩薄膜在利用RIE的介電質之乾式蝕刻期間能用作硬遮罩。在貫孔及/或溝槽已於介電質中形成後,於操作607中以金屬將貫孔及/或溝槽填滿。接著,在操作609中係藉由濕式蝕刻來移除含氧陶瓷硬遮罩而無須CMP。
設備
通常可以在不同類型的設備中沉積本文中所述之硬遮罩材料,這些設備包含CVD及PVD設備。在一較佳實施例中,此設備係可包含HFRF及LFRF功率來源的PECVD設備。適當設備的範例包含SEQUEL®及VECTOR®工具,其可由Lam Research Corporation,Fremont,CA購得。
一般而言,此設備會包含一或更多腔室或「反應器」(有時包括多個站),其能容納一或更多晶圓並適合處理晶圓。每一腔室可容納一或更多晶圓以供處理。此一或更多腔室將晶圓保持在限定的一或多個位置中(在該位置內具有或不具有運動,例如,轉動、振動、或其他攪動)。在某些實施例中,會在硬遮罩層沉積期間,於反應器內將正在進行此製程的晶圓由一站轉移到另一站。當在製程中時,每一晶圓係被基座、晶圓夾盤及/或其他的晶圓托持設備托持於特定位置。對於會在其中加熱晶圓的操作,這些設備可包含加熱器,例如加熱盤。
圖8提供描繪了適當的PECVD反應器之各種反應器零件的簡易方塊圖,此PECVD反應器係配置來實施本發明。如圖所示,反應器800包含處理腔室824,此處理腔室824將反應器的其他零件包圍起來,並用於容納由電容式系統所產生的電漿,此電容式系統包含與接地之加熱器區塊820協同運作的噴淋頭814。高頻RF產生器804及低頻RF產生器802係與匹配網路806相連接,而匹配網路806又與噴淋頭814相連接。
在反應器內,晶圓基座818支撐基板816。此基座通常包含夾盤、叉、或升降銷,以在沉積反應期間及之間托持及運送基板。此夾盤可為靜電夾盤、機械夾盤、或各種其他類型的夾盤,如可用於工業及/或研究上之用途者。
製程氣體係經由入口812引入。多個來源氣體管線810係連接於歧管808。此氣體可以或不必被預混合。使用適當的閥調節及質量流量控制機構,以確保在製程之沉積及電漿處理階段期間,能輸送正確的氣體。在化學前驅物係以液體形式輸送的情況下,會使用液體流控制機構。接著,在被加熱至高於此液體汽化點的歧管中輸送此液體的期間並在到達沉積腔室前,將液體蒸發並與其他製程氣體混合。
製程氣體經由出口822離開腔室824。真空幫浦826(例如,一或二階段的機械乾式幫浦及/或渦輪分子幫浦)通常能將製程氣體汲取出來,並藉由閉迴路控制之流量限制裝置來維持反應器內的適當低壓,此流量限制裝置例如為節流閥或擺閥。
在某些實施例中,系統控制器830(其可包含一或更多實體或邏輯控制器)控制一些或全部的沉積腔室之操作。系統控制器830可包含一或更多記憶體裝置及一或更多處理器。處理器可包含中央處理單元(central processing unit,CPU)或電腦、類比及/或數位輸入/輸出連結、步進馬達控制器板、及其他類似零件。用於實施適當之控制操作的指令係在處理器上執行。這些指令可被儲存於與控制器830相關聯的記憶體裝置上,或者,其可透過網路提供。在某些實施例中,系統控制器830能執行系統控制軟體。
系統控制軟體可包含用於控制應用之時序、及/或下列腔室操作條件之任何一或更多者之程度的指令,腔室操作條件為:氣體之混合物及/或組成、腔室壓力、腔室溫度、晶圓/晶圓支撐物的溫度、施加於晶圓的偏壓、施加於線圈或其他電漿產生元件的頻率及功率、晶圓位置、晶圓移動速度、以及由此工具執行之特定製程的其他參數。可以任何適當方式配置系統控制軟體。舉例來說,可撰寫各種處理工具元件的子程式或控制物件,以控制對實行各種處理工具之製程係必要的處理工具元件之操作。系統控制軟體可以任何適當的電腦可讀取 編程語言編寫而成。
在某些實施例中,系統控制軟體包含用於控制上述各種參數的輸入/輸出控制(input/output control,IOC)定序指令。舉例來說,半導體製造過程的每一階段可包含一或更多由系統控制器830所執行的指令。例如,用於設定沉積操作之製程條件的指令可被包含於對應的沉積配方階段中。
在某些實施例中,可使用其他的電腦軟體及/或程式。用於此目的之程式或程式之區段的範例包含晶圓定位程式、製程氣體成分控制程式、壓力控制程式、加熱器控制程式、及RF電源供應器控制程式。
在某些狀況下,控制器830控制氣體濃度、晶圓運動、及/或供應至加熱器區塊820及/或晶圓基座818的功率。控制器830可藉由以下方式來控制氣體濃度,例如,開啟及關閉相關的閥以產生一或更多輸入氣體流,其提供適當濃度的必須反應物。晶圓運動可藉由以下方式控制,例如,導引晶圓定位系統以視需求而移動。可控制供應至加熱器區塊820及/或晶圓基座818的功率,以提供特定的RF功率位準。
系統控制器830可基於感測器輸出(例如,當功率、電位、壓力等等達到某種程度的臨界值時)、操作之時序(例如,在製程中的某個時間打開閥)、或基於由使用者處接收之指令而控制這些及其他態樣。
在某些實施例中,多站設備可用於沉積硬遮罩層。多站反應器允許吾人在一個腔室環境中同時進行相同或不同的製程,藉此提高晶圓處理的效率。此種設備的範例係描繪於圖9中。俯視圖的概略呈現被顯示出來。設備腔室901包含四個站903-909。一般而言,在多站設備的單一腔室內有任何數量的站均為可能的。站903係用於載入及卸載基板晶圓。站903-909可具有相同或不同的功能,且在某些實施例中,能夠在不同的製程條件下(例如,在不同的溫度狀況下)操作。
在某些實施例中,係在設備的一個站中沉積整個硬遮罩層。在其他實施例中,係在第一站中沉積硬遮罩層的第一部分,接著 將晶圓運送到第二站,並在第二站中沉積相同硬遮罩層的第二部分,以此類推,直到晶圓回到第一站並退出此設備。
在一實施例中,站903、905、907、及909全部用於硬遮罩層之沉積。分度盤911係用於將基板抬離基座,並將基板精確定位在下一個處理站。當晶圓基板在站903被載入後,將其依序分度定位(index)至站905、907、及909,其中,係在每一站沉積硬遮罩層的一部份。在站903卸載處理過之晶圓,並將新的晶圓裝入此模組。在正常操作期間,個別的基板佔據每一站,且每次要重複進行此製程時,將該等基板移動至新的站。因此,具有四個站903、905、907、及909的設備得以同時處理四個晶圓。
可以下列工具進行含氧陶瓷硬遮罩的濕式蝕刻,例如,Lam SP系列,Da Vinci®、或DV-Prime®單晶圓清潔工具、或更常見的批量濕式工作台。濕式蝕刻設備可包含控制器,例如參照此沉積設備而描述者,此控制器包含控制系統軟體,以控制濕式蝕刻腔室的一些或全部操作。可將PECVD及濕式蝕刻設備結合於半導體製程工具中,以便晶圓基板的處理。
圖10描繪了具有多種模組的半導體製程群集架構(cluster architecture),該等模組與真空傳輸模組1038(vacuum transfer module,VTM)界接。用於在多個儲存設備及處理模組之間「傳輸」晶圓的傳輸模組之配置可被稱為「群集工具架構」系統。空氣鎖室1030(亦被稱為運載鎖室(loadlock)或傳輸模組)係顯示於具有四個處理模組1020a-1020d的VTM 1038中,可個別將此四個處理模組最佳化以進行各種製造處理。通過舉例之方式,此處理模組1020a-1020d可以被實現以進行基板蝕刻、沉積、離子佈植、晶圓清潔、濺鍍、及/或其他的半導體製程。基板蝕刻處理模組(1020a-1020d之任何者)之一或更多者可被實施為本文中所揭露者,即,用於藉由PECVD來沉積含氧陶瓷硬遮罩薄膜、及用於藉由濕式蝕刻來移除含氧陶瓷硬遮罩薄膜、以及依據於所揭露之實施例的其他適當功能。空氣鎖室1030及處理模組1020可被稱為「站」。每一站具有將站與VTM 1038接合的面部1036。在每一面部內,感測器1-18係用於當晶圓1026在個別的站之間移動時偵測其通 過。
自動機器1022在站之間傳送晶圓1026。在一實施例中,自動機器1022具有一手臂,而在另一實施例中,自動機器1022具有二手臂,其中每一手臂具有終端作用器(end effector)1024,以拾取晶圓(例如晶圓1026)以供傳輸。在大氣傳輸模組(atmospheric transfer module,ATM)1040中的前端自動機器1032係用於將晶圓1026由載入端口模組(Load Port Module,LPM)1042中的卡匣(cassette)或前開式晶圓傳送盒(Front Opening Unified Pod,FOUP)1034運送至空氣鎖室1030。在處理模組1020內的模組中心1028係用於放置晶圓1026的一個位置。在ATM 1040中的對準機1044係用於對準晶圓。
在示例性的處理方法中,將晶圓放置於LPM 1042中的FOUPs 1034之一者內。前端自動機器1032將晶圓由FOUP 1034運送至對準機1044,此對準機1044允許晶圓1026在被蝕刻或處理前正確地置中。在經過對準後,藉由前端自動機器1032將晶圓1026移動至空氣鎖室1030中。由於空氣鎖室模組具有使ATM與VTM之間的環境相匹配的能力,因此晶圓1026能夠在不受損害的情況下於二種壓力環境之間移動。由空氣鎖室模組1030開始,藉由自動機器1022將晶圓1026經由VTM 1038而移動至處理模組1020a-1020d其中一者中。為達成此晶圓移動,自動機器1022在其手臂之每一者上使用終端作用器1024。一但晶圓1026已經經過處理,會藉由自動機器1022將晶圓1026由處理模組1020a-1020d移動至空氣鎖室模組1030。由此,可藉由前端自動機器1032將晶圓1026移動到FOUPs 1034其中一者或到對準機1044。
如同沉積及濕式蝕刻設備,此群集工具可包含控制器,例如參照此沉積設備而描述者,此控制器包含控制系統軟體,以控制此群集工具及其元件模組的一些或全部操作。
應當注意的是,控制晶圓移動的電腦對此群集結構可以是本地的、或可設於一生產車間(manufacturing floor)中此群集結構的外部、或位於遠端位置中並經由網路連接至此群集結構。
範例
下列範例證明了依據於本發明的含氧薄膜對於半導體處理方案的適用性。
使用前驅物製程氣體總流量之0-50%為CO2的氣體流來製備氧摻雜硬遮罩。以下表格呈現了由0-7.5%的CO2流所形成之薄膜的數據:
此表格顯示當氧被添加到陶瓷硬遮罩時薄膜特性的改變。添加氧會使薄膜的折射係數(refractive index,RI)及壓縮應力增加,然而此氧摻雜陶瓷薄膜具有可相容於作為遮罩使用的特性。
這些氧摻雜陶瓷薄膜作為硬遮罩的適用性,係藉由在圖7中以作圖方式呈現的數據而更加得到證明。係在氮化物、多晶矽、及基於TEOS者的蝕刻中,測試表示於上面表格的硬遮罩薄膜之乾式蝕刻速率。為了增加對這些薄膜的選擇性,所需要的是,在這些蝕刻中的蝕刻速率要盡可能的低。如圖中所示,添加氧到陶瓷硬遮罩薄膜,對於氮化物、多晶矽、及基於TEOS者之蝕刻中的薄膜蝕刻速率僅具有微小的影響。換言之,當氧摻雜薄膜被用作硬遮罩時,其相對於未摻雜薄膜僅有微小的蝕刻選擇性差異。因此,此含氧薄膜係適合用於將包含氮化矽、氧化矽、及多晶矽薄膜的許多薄膜圖案化。
當結合此含氧薄膜能夠藉由濕式蝕刻而無須CMP來移除的特性,含氧陶瓷硬遮罩材料應有助於在邏輯及記憶體應用此兩者中的陶瓷硬遮罩處理解決方案之整合。
結論
應瞭解的是,本文中所述之範例及實施例僅用於說明之 目的,而依照其所作之各種修改或變化當能為熟悉本技藝者所聯想到。雖然為了清晰之目的而省略諸多細節,但各種設計替代方案仍可以被實施。因此,本範例應被視為說明性而非限制性者,且本發明並不受限於本文中所提供的細節,而可在隨附申請專利範圍的範疇內進行修改。應瞭解的是,在某些實施例中,硬遮罩薄膜可不一定是積極用於微影技術中的遮蔽,而可僅供作下方材料的硬保護層。

Claims (13)

  1. 一種在半導體基板上形成硬遮罩薄膜的方法,該方法包含下列步驟:在電漿輔助化學氣相沉積(plasma-enhanced chemical vapor deposition,PECVD)的處理腔室中接收一半導體之基板;及藉由PECVD在該基板上形成一含氧陶瓷硬遮罩薄膜,該含氧陶瓷硬遮罩薄膜對銅具蝕刻選擇性、對電漿乾式蝕刻具抗性、並能夠藉由濕式蝕刻移除,其中該含氧陶瓷硬遮罩薄膜之形成包含:使實質上由He、C2H2、CO2、B2H6所組成的一製程氣體及一載體氣體流至該處理腔室中;及形成電漿以在該基板上沉積該含氧陶瓷硬遮罩薄膜,該含氧陶瓷硬遮罩薄膜係實質上由C、H、B、及至少5%之O元素所組成。
  2. 如申請專利範圍第1項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,相對於總製程氣體流量的前驅物之百分比為約He 80-83%/C2H2 10-11%/CO2 5-8%/B2H6 2-3%。
  3. 如申請專利範圍第2項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,相對於總製程氣體流量的前驅物之百分比為約He 82%/C2H2 10.5%/CO2 5%/B2H6 2.5%。
  4. 如申請專利範圍第2項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,相對於總製程氣體流量的前驅物之百分比為約He 80%/C2H2 10%/CO2 7.5%/B2H6 2.5%。
  5. 如申請專利範圍第1項所述之在半導體基板上形成一硬遮罩薄膜的方法,其中,該製程氣體的總流量為約10000sccm。
  6. 如申請專利範圍第1項所述之在半導體基板上形成硬遮罩薄膜的方法,更包含以一濕式蝕刻化學品將該含氧陶瓷硬遮罩薄膜由該基板移除的步驟。
  7. 如申請專利範圍第6項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,該濕式蝕刻化學品包含一氧化劑及一強酸或強鹼化合物。
  8. 如申請專利範圍第6項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,該濕式蝕刻化學品包含水。
  9. 如申請專利範圍第7項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,該濕式蝕刻化學品更包含一金屬腐蝕抑制劑。
  10. 如申請專利範圍第8項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,該濕式蝕刻化學品更包含一金屬腐蝕抑制劑。
  11. 如申請專利範圍第1項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,所形成之該含氧陶瓷硬遮罩薄膜係沉積於一介電質的層上,該介電質具有小於約2.8的介電常數,且其中,所形成之該含氧陶瓷硬遮罩薄膜在一乾式電漿蝕刻中相對於該介電質具有至少約8:1的蝕刻選擇性。
  12. 如申請專利範圍第1項所述之在半導體基板上形成硬遮罩薄膜的方法,其中,所形成之該含氧陶瓷硬遮罩薄膜係沉積於一多晶矽的層上。
  13. 如申請專利範圍第1項所述之在半導體基板上形成硬遮罩薄膜的方法,其中該濕式蝕刻之化學品包含1:1 96% H2SO4:30% H2O2
TW102146997A 2012-12-18 2013-12-18 含氧之陶瓷硬遮罩及相關濕式清潔 TWI624860B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201261738599P 2012-12-18 2012-12-18
US61/738,599 2012-12-18
US14/105,026 2013-12-12
US14/105,026 US9337068B2 (en) 2012-12-18 2013-12-12 Oxygen-containing ceramic hard masks and associated wet-cleans

Publications (2)

Publication Number Publication Date
TW201440123A TW201440123A (zh) 2014-10-16
TWI624860B true TWI624860B (zh) 2018-05-21

Family

ID=50973718

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102146997A TWI624860B (zh) 2012-12-18 2013-12-18 含氧之陶瓷硬遮罩及相關濕式清潔

Country Status (2)

Country Link
US (1) US9337068B2 (zh)
TW (1) TWI624860B (zh)

Families Citing this family (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2012046361A1 (ja) * 2010-10-07 2012-04-12 パナソニック株式会社 半導体装置の製造方法
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US9778561B2 (en) 2014-01-31 2017-10-03 Lam Research Corporation Vacuum-integrated hardmask processes and apparatus
FR3018951B1 (fr) * 2014-03-18 2017-06-09 Commissariat Energie Atomique Procede de gravure d'un materiau dielectrique poreux
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9928994B2 (en) 2015-02-03 2018-03-27 Lam Research Corporation Methods for decreasing carbon-hydrogen content of amorphous carbon hardmask films
US9520295B2 (en) 2015-02-03 2016-12-13 Lam Research Corporation Metal doping of amorphous carbon and silicon films used as hardmasks in substrate processing systems
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US9741610B2 (en) * 2015-06-15 2017-08-22 Globalfoundries Inc. Sacrificial amorphous silicon hard mask for BEOL
US9754822B1 (en) * 2016-03-02 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method
US10199500B2 (en) 2016-08-02 2019-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-layer film device and method
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
US10566212B2 (en) 2016-12-19 2020-02-18 Lam Research Corporation Designer atomic layer etching
US10832909B2 (en) 2017-04-24 2020-11-10 Lam Research Corporation Atomic layer etch, reactive precursors and energetic sources for patterning applications
US10796912B2 (en) * 2017-05-16 2020-10-06 Lam Research Corporation Eliminating yield impact of stochastics in lithography
KR102678588B1 (ko) 2018-11-14 2024-06-27 램 리써치 코포레이션 차세대 리소그래피에서 유용한 하드 마스크들을 제조하기 위한 방법들
US11171052B2 (en) 2019-04-29 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby
US11024533B2 (en) * 2019-05-16 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures using via holes filled with dielectric film
CN110718506A (zh) * 2019-09-30 2020-01-21 上海华力集成电路制造有限公司 一种制作14nm节点后段制程32nm线宽金属的方法
US11276573B2 (en) * 2019-12-04 2022-03-15 Applied Materials, Inc. Methods of forming high boron-content hard mask materials
JP7189375B2 (ja) 2020-01-15 2022-12-13 ラム リサーチ コーポレーション フォトレジスト接着および線量低減のための下層
WO2022116149A1 (en) * 2020-12-04 2022-06-09 Yangtze Memory Technologies Co., Ltd. Semiconductor device fabrication

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20040178169A1 (en) * 2003-03-12 2004-09-16 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
TW201214512A (en) * 2010-04-19 2012-04-01 Hoya Corp Method of manufacturing a multi-tone photomask and etching device
TW201240012A (en) * 2011-03-25 2012-10-01 Applied Materials Inc Method and apparatus for thermocouple installation or replacement in a substrate support

Family Cites Families (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4177474A (en) 1977-05-18 1979-12-04 Energy Conversion Devices, Inc. High temperature amorphous semiconductor member and method of making the same
AU549925B2 (en) 1983-11-28 1986-02-20 Nitsuko Ltd. Automatic telephone hold releasing circuit
JP2736380B2 (ja) 1987-08-11 1998-04-02 株式会社豊田中央研究所 炭化珪素質材料の製造方法及び原料組成物
US5034355A (en) 1987-10-28 1991-07-23 Kabushiki Kaisha Toyota Chuo Kenkyusho Tough silicon carbide composite material containing fibrous boride
DE3811567A1 (de) 1988-04-07 1989-10-19 Wacker Chemie Gmbh Verfahren zur herstellung von organopolysilanen
US5464699A (en) 1988-04-18 1995-11-07 Alloy Surfaces Co. Inc. Pyrophoric materials and methods for making the same
KR940003787B1 (ko) 1988-09-14 1994-05-03 후지쓰 가부시끼가이샤 박막 형성장치 및 방법
JPH06105691B2 (ja) 1988-09-29 1994-12-21 株式会社富士電機総合研究所 炭素添加非晶質シリコン薄膜の製造方法
US5088003A (en) 1989-08-24 1992-02-11 Tosoh Corporation Laminated silicon oxide film capacitors and method for their production
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
US5281546A (en) 1992-09-02 1994-01-25 General Electric Company Method of fabricating a thin film transistor using hydrogen plasma treatment of the intrinsic silicon/doped layer interface
US5324690A (en) 1993-02-01 1994-06-28 Motorola Inc. Semiconductor device having a ternary boron nitride film and a method for forming the same
US6251758B1 (en) 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
SE9501312D0 (sv) 1995-04-10 1995-04-10 Abb Research Ltd Method for procucing a semiconductor device
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5849640A (en) 1996-04-01 1998-12-15 Vanguard International Semiconductor Corporation In-situ SOG etchback and deposition for IMD process
US6020035A (en) 1996-10-29 2000-02-01 Applied Materials, Inc. Film to tie up loose fluorine in the chamber after a clean process
US6150719A (en) 1997-07-28 2000-11-21 General Electric Company Amorphous hydrogenated carbon hermetic structure and fabrication method
WO1999004911A1 (en) 1997-07-28 1999-02-04 Massachusetts Institute Of Technology Pyrolytic chemical vapor deposition of silicone films
US6881683B2 (en) 1998-02-05 2005-04-19 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
US7064088B2 (en) 1998-02-05 2006-06-20 Asm Japan K.K. Method for forming low-k hard film
US6432846B1 (en) 1999-02-02 2002-08-13 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7354873B2 (en) 1998-02-05 2008-04-08 Asm Japan K.K. Method for forming insulation film
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US7582575B2 (en) 1998-02-05 2009-09-01 Asm Japan K.K. Method for forming insulation film
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US5958324A (en) 1998-02-06 1999-09-28 Dow Corning Corporation Method for formation of crystalline boron-doped silicon carbide and amorphous boron silicon oxycarbide fibers from polymer blends containing siloxane and boron
US6197688B1 (en) 1998-02-12 2001-03-06 Motorola Inc. Interconnect structure in a semiconductor device and method of formation
US6171661B1 (en) 1998-02-25 2001-01-09 Applied Materials, Inc. Deposition of copper with increased adhesion
US6262445B1 (en) 1998-03-30 2001-07-17 Texas Instruments Incorporated SiC sidewall process
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
JPH11354820A (ja) 1998-06-12 1999-12-24 Sharp Corp 光電変換素子及びその製造方法
US20030089992A1 (en) 1998-10-01 2003-05-15 Sudha Rathi Silicon carbide deposition for use as a barrier layer and an etch stop
US6399484B1 (en) 1998-10-26 2002-06-04 Tokyo Electron Limited Semiconductor device fabricating method and system for carrying out the same
JP2000286254A (ja) 1999-03-31 2000-10-13 Hitachi Ltd 半導体集積回路装置およびその製造方法
US6268288B1 (en) 1999-04-27 2001-07-31 Tokyo Electron Limited Plasma treated thermal CVD of TaN films from tantalum halide precursors
EP1056139A3 (en) 1999-05-28 2007-09-19 Sharp Kabushiki Kaisha Method for manufacturing photoelectric conversion device
EP1077477B1 (en) 1999-08-17 2008-12-17 Applied Materials, Inc. Surface treatment of C-doped SiO2 film to enhance film stability during O2 ashing
US6100587A (en) 1999-08-26 2000-08-08 Lucent Technologies Inc. Silicon carbide barrier layers for porous low dielectric constant materials
US6875687B1 (en) 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
US6537741B2 (en) 1999-11-24 2003-03-25 Nexpress Solutions Llc Fusing belt for applying a protective overcoat to a photographic element
JP3430091B2 (ja) 1999-12-01 2003-07-28 Necエレクトロニクス株式会社 エッチングマスク及びエッチングマスクを用いたコンタクトホールの形成方法並びにその方法で形成した半導体装置
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6818990B2 (en) 2000-04-03 2004-11-16 Rensselaer Polytechnic Institute Fluorine diffusion barriers for fluorinated dielectrics in integrated circuits
US6417092B1 (en) 2000-04-05 2002-07-09 Novellus Systems, Inc. Low dielectric constant etch stop films
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6867143B1 (en) 2000-06-22 2005-03-15 International Business Machines Corporation Method for etching a semiconductor substrate using germanium hard mask
US6794311B2 (en) 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6352921B1 (en) 2000-07-19 2002-03-05 Chartered Semiconductor Manufacturing Ltd. Use of boron carbide as an etch-stop and barrier layer for copper dual damascene metallization
US6764958B1 (en) 2000-07-28 2004-07-20 Applied Materials Inc. Method of depositing dielectric films
US6774489B2 (en) 2000-08-29 2004-08-10 Texas Instruments Incorporated Dielectric layer liner for an integrated circuit structure
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
WO2002021593A2 (en) 2000-09-08 2002-03-14 Applied Materials, Inc. Method of forming titanium nitride (tin) films using metal-organic chemical vapor deposition (mocvd)
US6465366B1 (en) 2000-09-12 2002-10-15 Applied Materials, Inc. Dual frequency plasma enhanced chemical vapor deposition of silicon carbide layers
US6936533B2 (en) 2000-12-08 2005-08-30 Samsung Electronics, Co., Ltd. Method of fabricating semiconductor devices having low dielectric interlayer insulation layer
KR100384850B1 (ko) 2000-12-14 2003-05-22 주식회사 하이닉스반도체 탄탈륨옥사이드 유전막 형성 방법
AU2002241936A1 (en) 2001-01-22 2002-07-30 N.V.Bekaert S.A. Copper diffusion barriers
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
US6455409B1 (en) 2001-02-28 2002-09-24 Advanced Micro Devices, Inc. Damascene processing using a silicon carbide hard mask
US6716770B2 (en) 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
KR100414156B1 (ko) 2001-05-29 2004-01-07 삼성전자주식회사 집적회로소자의 캐패시터 제조방법
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6573606B2 (en) 2001-06-14 2003-06-03 International Business Machines Corporation Chip to wiring interface with single metal alloy layer applied to surface of copper interconnect
KR20030002993A (ko) 2001-06-29 2003-01-09 학교법인 포항공과대학교 저유전체 박막의 제조방법
US6455417B1 (en) 2001-07-05 2002-09-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming damascene structure employing bi-layer carbon doped silicon nitride/carbon doped silicon oxide etch stop layer
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US7057251B2 (en) 2001-07-20 2006-06-06 Reflectivity, Inc MEMS device made of transition metal-dielectric oxide materials
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
US6756318B2 (en) 2001-09-10 2004-06-29 Tegal Corporation Nanolayer thick film processing system and method
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6677253B2 (en) 2001-10-05 2004-01-13 Intel Corporation Carbon doped oxide deposition
US6759327B2 (en) 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6680262B2 (en) 2001-10-25 2004-01-20 Intel Corporation Method of making a semiconductor device by converting a hydrophobic surface of a dielectric layer to a hydrophilic surface
KR100420598B1 (ko) 2001-11-28 2004-03-02 동부전자 주식회사 알루미늄을 이용한 구리 확산 방지 막 형성방법
US6670715B2 (en) 2001-12-05 2003-12-30 United Microelectronics Corp. Bilayer silicon carbide based barrier
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7091137B2 (en) 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US6679978B2 (en) 2002-02-22 2004-01-20 Afg Industries, Inc. Method of making self-cleaning substrates
KR100449028B1 (ko) 2002-03-05 2004-09-16 삼성전자주식회사 원자층 증착법을 이용한 박막 형성방법
US20030194496A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Methods for depositing dielectric material
US6812043B2 (en) 2002-04-25 2004-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming a carbon doped oxide low-k insulating layer
EP1365043B1 (de) 2002-05-24 2006-04-05 Schott Ag Vorrichtung für CVD-Beschichtungen
US6767836B2 (en) 2002-09-04 2004-07-27 Asm Japan K.K. Method of cleaning a CVD reaction chamber using an active oxygen species
US6734118B2 (en) 2002-09-23 2004-05-11 Intel Corporation Dielectric material treatment
US6803313B2 (en) 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US7749563B2 (en) 2002-10-07 2010-07-06 Applied Materials, Inc. Two-layer film for next generation damascene barrier application with good oxidation resistance
US6991959B2 (en) 2002-10-10 2006-01-31 Asm Japan K.K. Method of manufacturing silicon carbide film
JP4109531B2 (ja) 2002-10-25 2008-07-02 松下電器産業株式会社 半導体装置及びその製造方法
DE10250889B4 (de) 2002-10-31 2006-12-07 Advanced Micro Devices, Inc., Sunnyvale Verbesserte SiC-Barrierenschicht für eine Kupfermetallisierungsschicht mit einem Dielektrikum mit kleinem ε und Verfahren zur Herstellung derselben
US20040084774A1 (en) 2002-11-02 2004-05-06 Bo Li Gas layer formation materials
US6975032B2 (en) 2002-12-16 2005-12-13 International Business Machines Corporation Copper recess process with application to selective capping and electroless plating
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US7365029B2 (en) 2002-12-20 2008-04-29 Applied Materials, Inc. Method for silicon nitride chemical vapor deposition
US6855645B2 (en) 2002-12-30 2005-02-15 Novellus Systems, Inc. Silicon carbide having low dielectric constant
US6790788B2 (en) 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US7713592B2 (en) 2003-02-04 2010-05-11 Tegal Corporation Nanolayer deposition process
US7238393B2 (en) 2003-02-13 2007-07-03 Asm Japan K.K. Method of forming silicon carbide films
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7081673B2 (en) 2003-04-17 2006-07-25 International Business Machines Corporation Multilayered cap barrier in microelectronic interconnect structures
US7115534B2 (en) 2003-05-19 2006-10-03 Applied Materials, Inc. Dielectric materials to prevent photoresist poisoning
US20050045206A1 (en) 2003-08-26 2005-03-03 Smith Patricia Beauregard Post-etch clean process for porous low dielectric constant materials
US7420275B1 (en) 2003-09-24 2008-09-02 Novellus Systems, Inc. Boron-doped SIC copper diffusion barrier films
US6967405B1 (en) 2003-09-24 2005-11-22 Yongsik Yu Film for copper diffusion barrier
US20050100682A1 (en) 2003-11-06 2005-05-12 Tokyo Electron Limited Method for depositing materials on a substrate
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
EP1703328B1 (en) 2003-12-26 2010-04-14 Nissan Chemical Industries, Ltd. Composition for forming nitride coating film for hard mask
WO2005069367A1 (ja) 2004-01-13 2005-07-28 Tokyo Electron Limited 半導体装置の製造方法および成膜システム
US7405147B2 (en) 2004-01-30 2008-07-29 International Business Machines Corporation Device and methodology for reducing effective dielectric constant in semiconductor devices
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7094713B1 (en) 2004-03-11 2006-08-22 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7030041B2 (en) 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US20050233555A1 (en) 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
JP5113982B2 (ja) 2004-04-23 2013-01-09 トヨタ自動車株式会社 金属炭化物粒子が分散した炭素複合材料の製造方法
US7285842B2 (en) 2004-04-27 2007-10-23 Polyset Company, Inc. Siloxane epoxy polymers as metal diffusion barriers to reduce electromigration
US7259090B2 (en) 2004-04-28 2007-08-21 Taiwan Semiconductor Manufacturing Co., Ltd. Copper damascene integration scheme for improved barrier layers
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
US20050277302A1 (en) 2004-05-28 2005-12-15 Nguyen Son V Advanced low dielectric constant barrier layers
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
JP2006013190A (ja) 2004-06-28 2006-01-12 Rohm Co Ltd 半導体装置の製造方法
US7132374B2 (en) 2004-08-17 2006-11-07 Cecilia Y. Mak Method for depositing porous films
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
TW200631095A (en) 2005-01-27 2006-09-01 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US7202564B2 (en) 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
JP2007053133A (ja) 2005-08-15 2007-03-01 Toshiba Corp 半導体装置及びその製造方法
US20070077751A1 (en) 2005-10-03 2007-04-05 Mei-Ling Chen Method of restoring low-k material or porous low-k layer
JP4837370B2 (ja) 2005-12-05 2011-12-14 東京エレクトロン株式会社 成膜方法
US8138082B2 (en) 2006-02-28 2012-03-20 Stmicroelectronics (Crolles 2) Sas Method for forming metal interconnects in a dielectric material
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
WO2007116492A1 (ja) 2006-03-31 2007-10-18 Fujitsu Microelectronics Limited 半導体装置の製造方法
US7744746B2 (en) 2006-03-31 2010-06-29 Exxonmobil Research And Engineering Company FCC catalyst stripper configuration
US7851384B2 (en) 2006-06-01 2010-12-14 Applied Materials, Inc. Method to mitigate impact of UV and E-beam exposure on semiconductor device film properties by use of a bilayer film
JP5380797B2 (ja) 2006-08-21 2014-01-08 富士通株式会社 半導体デバイスの製造方法
US8956457B2 (en) 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US20080064173A1 (en) 2006-09-08 2008-03-13 United Microelectronics Corp. Semiconductor device, cmos device and fabricating methods of the same
US7759241B2 (en) 2006-09-15 2010-07-20 Intel Corporation Group II element alloys for protecting metal interconnects
US7749892B2 (en) 2006-11-29 2010-07-06 International Business Machines Corporation Embedded nano UV blocking and diffusion barrier for improved reliability of copper/ultra low K interlevel dielectric electronic devices
US20080128907A1 (en) 2006-12-01 2008-06-05 International Business Machines Corporation Semiconductor structure with liner
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7851360B2 (en) 2007-02-14 2010-12-14 Intel Corporation Organometallic precursors for seed/barrier processes and methods thereof
US7915166B1 (en) 2007-02-22 2011-03-29 Novellus Systems, Inc. Diffusion barrier and etch stop films
TWI333676B (en) 2007-03-22 2010-11-21 United Microelectronics Corp Method for manufacturing mos transistor utilizing hybrid a hard mask
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
TWI455203B (zh) 2007-05-03 2014-10-01 Lam Res Corp 開孔之硬遮罩及藉由開孔之硬遮罩施行之蝕刻輪廓控制
JP2009075285A (ja) 2007-09-20 2009-04-09 Fujifilm Corp 半導体デバイスの剥離液、及び、剥離方法
US8338315B2 (en) 2008-02-26 2012-12-25 Axcelis Technologies, Inc. Processes for curing silicon based low-k dielectric materials
US8124522B1 (en) 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US20090258487A1 (en) 2008-04-14 2009-10-15 Keng-Chu Lin Method for Improving the Reliability of Low-k Dielectric Materials
KR101563763B1 (ko) 2008-05-07 2015-10-27 더 트러스티즈 오브 프린스턴 유니버시티 전자 장치들 또는 다른 물품들 위의 코팅들에 사용하기 위한 혼성 층들
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
US8071451B2 (en) 2009-07-29 2011-12-06 Axcelis Technologies, Inc. Method of doping semiconductors
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8178443B2 (en) 2009-12-04 2012-05-15 Novellus Systems, Inc. Hardmask materials
US20130157466A1 (en) * 2010-03-25 2013-06-20 Keith Fox Silicon nitride films for semiconductor device applications
US8771807B2 (en) 2011-05-24 2014-07-08 Air Products And Chemicals, Inc. Organoaminosilane precursors and methods for making and using same
US20130242493A1 (en) 2012-03-13 2013-09-19 Qualcomm Mems Technologies, Inc. Low cost interposer fabricated with additive processes
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9371579B2 (en) 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6316167B1 (en) * 2000-01-10 2001-11-13 International Business Machines Corporation Tunabale vapor deposited materials as antireflective coatings, hardmasks and as combined antireflective coating/hardmasks and methods of fabrication thereof and application thereof
US20040178169A1 (en) * 2003-03-12 2004-09-16 International Business Machines Corporation Hard mask integrated etch process for patterning of silicon oxide and other dielectric materials
TW201214512A (en) * 2010-04-19 2012-04-01 Hoya Corp Method of manufacturing a multi-tone photomask and etching device
TW201240012A (en) * 2011-03-25 2012-10-01 Applied Materials Inc Method and apparatus for thermocouple installation or replacement in a substrate support

Also Published As

Publication number Publication date
US20140175617A1 (en) 2014-06-26
TW201440123A (zh) 2014-10-16
US9337068B2 (en) 2016-05-10

Similar Documents

Publication Publication Date Title
TWI624860B (zh) 含氧之陶瓷硬遮罩及相關濕式清潔
US10784086B2 (en) Cobalt etch back
KR102653066B1 (ko) 반도체 제조시 금속 도핑된 탄소계 하드마스크 제거
JP7334166B2 (ja) パターニングにおける酸化スズマンドレル
TWI505364B (zh) 硬遮罩材料
US11742212B2 (en) Directional deposition in etch chamber
JP2023027173A (ja) エアギャップの形成方法
JP4860219B2 (ja) 基板の処理方法、電子デバイスの製造方法及びプログラム
US8859430B2 (en) Sidewall protection of low-K material during etching and ashing
TW202137327A (zh) 半導體裝置製造中之氧化錫膜
US20230093011A1 (en) Atomic layer etching of molybdenum
JP2024020242A (ja) メモリ用途のための垂直トランジスタの作製
KR20220126757A (ko) 서브트랙티브 자기-정렬을 위한 방법들 및 디바이스들
KR102356462B1 (ko) 산소-함유 세라믹 하드 마스크들 및 관련 습식-세정들
US10068981B2 (en) Rare earth metal surface-activated plasma doping on semiconductor substrates
TWI840569B (zh) 低k介電質之自形成阻障層
US20220238349A1 (en) Polymerization protective liner for reactive ion etch in patterning
US20230010568A1 (en) Methods and apparatus for selective etch stop capping and selective via open for fully landed via on underlying metal
TW202113921A (zh) 低k介電質之自形成阻障層