TWI840569B - 低k介電質之自形成阻障層 - Google Patents

低k介電質之自形成阻障層 Download PDF

Info

Publication number
TWI840569B
TWI840569B TW109119178A TW109119178A TWI840569B TW I840569 B TWI840569 B TW I840569B TW 109119178 A TW109119178 A TW 109119178A TW 109119178 A TW109119178 A TW 109119178A TW I840569 B TWI840569 B TW I840569B
Authority
TW
Taiwan
Prior art keywords
dopant gas
substrate
barrier layer
dielectric layer
layer
Prior art date
Application number
TW109119178A
Other languages
English (en)
Other versions
TW202113921A (zh
Inventor
丁禕
蕭納克 穆克吉
波 謝
任康樹
迪尼斯 帕奇
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202113921A publication Critical patent/TW202113921A/zh
Application granted granted Critical
Publication of TWI840569B publication Critical patent/TWI840569B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • H01L21/0214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC the material being a silicon oxynitride, e.g. SiON or SiON:H
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3215Doping the layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76828Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Formation Of Insulating Films (AREA)

Abstract

揭示一種形成具有阻障性質的低K介電質層的方法。此方法包括藉由PECVD形成介電質層,此介電質層被硼、氮或磷的一或多者所摻雜。某些實施例的摻雜劑氣體在沉積期間可與其他反應物共流動。

Description

低K介電質之自形成阻障層
本發明的實施例大體上關於形成具有低介電常數的阻障層的方法。
在半導體領域中,防止元素由電子元件中的一材料移動進入另一材料已經是個長期公認的問題。已經發展出擴散阻障以防止大原子(像是金屬)的擴散。
半導體中的互連結構通常含有阻障層以防止金屬的擴散進入介電質。典型的互連包含Cu/Ta/TaN/SiO2 的堆疊,其中Ta/TaN層是阻障層,功能性地防止Cu的擴散進入介電質。
隨著節點尺寸的縮減,製造阻障層中增加的難度與複雜性要求新穎材料以簡化互連製造處理。當前最新技術處理涉及金屬阻障層(例如,Ta/TaN)的PVD,其在越小的節點尺寸中變得越困難。對於越小的尺寸,需要容許中間阻障層的移除之新穎材料,替代改質金屬與介電質的的擴散性質。在沒有中介阻障層情況下,可能形成越小的互連。類似地,中介阻障層的移除可簡化生產方案。
因此,本領域中需要具有增加的阻障性質的介電質材料。
本發明的一或多個實施例關於形成介電質阻障層的方法,包含將其上具有金屬表面的基板暴露於摻雜劑氣體,以在金屬表面上提供摻雜劑層。摻雜劑氣體包含具有III族或V族元素的原子的至少一物種。藉由將基板暴露於矽前驅物、摻雜劑氣體與電漿沉積經摻雜介電質層,以形成經摻雜介電質層。退火經摻雜介電質層以形成介電質阻障層。
本發明的額外實施例關於形成介電質阻障層的方法,包含在其上具有銅表面的基板上沉積介電質層,藉由將基板暴露於矽前驅物、摻雜劑氣體與電漿以形成經摻雜介電質層。摻雜劑氣體包含硼原子、磷原子或氮原子的一或多者。在分子氮(N2 )氣氛中以小於約500 °C的溫度並持續約60分鐘至約120分鐘的期間退火經摻雜介電質層以形成介電質阻障層。
本發明的進一步實施例關於形成介電質阻障層的方法,包含將其上具有銅表面的基板暴露於包含乙硼烷的摻雜劑氣體以形成經處理表面。摻雜劑氣體具有約50 sccm至約100 sccm的範圍中的流率。藉由將基板暴露於矽前驅物、摻雜劑氣體與電漿,在經處理表面上沉積介電質層以形成經摻雜介電質層。在分子氮(N2 )氣氛中以小於約500 °C的溫度並持續約90分鐘至約120分鐘的期間退火經摻雜介電質層以形成介電質阻障層。介電質阻障層暴露於包含氨的處理電漿。
在說明本發明的數個範例實施例之前,將理解到本發明並不侷限於在之後的說明書中所說明的架構或處理步驟的細節。本發明能夠為其他實施例且可以各種方式實施或執行。
在本說明書與隨附申請專利範圍中使用時,用語「基板」意指表面或表面的一部分,在其上方可進行處理。本領域的熟習技藝者也將理解到關於一基板可也僅意指此基板的一部分,除非在文章中明確指示並非如此。此外,關於在一基板上沉積可意指在赤裸基板與在其上方沉積或形成有一或多個膜的基板兩者上。
在此使用「基板」時,意指任何基板或形成在基板上的材料表面,在其上方於製造處理期間執行膜處理。例如,其上可執行處理的基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、與任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電材料,取決於應用。基板包括但不限制於半導體晶圓。基板可暴露於預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板表面本身上的膜處理之外,在本發明中所揭示的任何膜處理步驟也可執行在形成在基板上的下方層上,如在之後更詳細說明的,且用語「基板表面」意欲包括文章所指示的此種下方層。因此,例如,在膜/層或部分膜/層已沉積在基板表面之上處,新沉積的膜/層的暴露表面成為基板表面。
本發明的某些實施例關於形成作為阻障層的低k介電質層的方法。本發明的某些方法有利地提供方法藉由消除PVD步驟以簡化阻障層製造處理。本發明的某些方法有利地提供方法,此方法提供用於作為具有高硬度與剛性的層間介電質的低k介電質阻障層。
本文所述的實施例將在之後參照PECVD處理而說明,可使用任何合適薄膜沉積系統執行PECVD處理。合適的系統的實例包括可使用DXZ® 處理腔室的CENTURA® 系統、PRECISION 5000® 系統、PRODUCER® 系統、PRODUCER® GTTM 系統、PRODUCER® XP PrecisionTM 系統、PRODUCER® SETM 系統、Sym3® 處理腔室、與Mesa™處理腔室,這些系統全部可商業地從加州聖克拉拉的應用材料公司取得。也可適用能夠執行PECVD處理的其他工具以從本文所述的實施例獲益。此外,能夠進行本文所述的PECVD處理的任何系統可用於獲得優勢。本文所述的設備說明是示例性且不應被推斷或詮釋為限制本發明的範疇。
參照第1與2圖,在某些實施例中,形成低k介電質阻障層240的方法100開始於操作104,藉由在基板210的金屬表面220上沉積經摻雜介電質層230。金屬表面220可具有任何合適金屬物種。在某些實施例中,金屬表面220的金屬包含銅。
藉由將基板210暴露於矽前驅物、摻雜劑氣體與由電漿氣體形成的電漿,沉積經摻雜介電質層230。矽前驅物、摻雜劑氣體與電漿全部同時地暴露至基板。換言之,操作104可稱為電漿輔助化學氣相沉積(PECVD)處理。在某些實施例中,矽前驅物、摻雜劑氣體與電漿的一或多者可被共流動一起進入處理腔室。
本發明的實施例提供低k介電質層240,其限制或防止來自金屬表面220的金屬的擴散進入介電質阻障層240。不被理論所侷限,防止金屬擴散進入介電質阻障層240消除或最小化電氣短路與元件故障。
摻雜劑氣體提供在經摻雜介電質層230中的III族或V族元素的原子源。在本說明書使用時,III族元素選自週期表中以硼(B)起始的欄,而V族元素選自以氮(N)起始的欄。
在某些實施例中,摻雜劑氣體包含硼(B)、磷(P)或氮(N)的一或多者。在某些實施例中,摻雜劑氣體包含硼元子。在某些實施例中,摻雜劑氣體包含或基本上由乙硼烷(B2 H6 )構成。在某些實施例中,摻雜劑氣體包含磷原子。在某些實施例中,摻雜劑氣體包含或基本上由膦(PH3 )構成。在某些實施例中,摻雜劑氣體包含氮原子。在某些實施例中,摻雜劑氣體包含分子氮(N2 )、氨(NH3 )、二氧化氮(NO2 )、一氧化氮(NO)、與一氧化二氮(N2 O)的一或多者。在某些實施例中,摻雜劑氣體基本上由氮(N2 )、氨、NO2 、或N2 O所構成。在此使用時,用語「基本上由…構成」意指摻雜劑氣體構成在莫耳基礎上大於或等於約95%、大於或等於約98%、大於或等於約99%、或大於或等於約99.5%的摻雜劑氣體,排除任何載體或稀釋氣體。
摻雜劑氣體可以任何合適流率供給至處理腔室。在某些實施例中,摻雜劑氣體以相對低流率供給。在某些實施例中,摻雜劑氣體以至多約500 sccm的流率流動。在某些實施例中,摻雜劑氣體以範圍為約10 sccm至約500 sccm、約20 sccm至約200 sccm、或約50 sccm至約100 sccm中的流率流動。
矽前驅物可為任何合適矽前驅物。電漿氣體可為任何合適電漿氣體且用以產生任何合適電漿。在某些實施例中,稀釋或載體氣體也與矽前驅物、電漿氣體或摻雜劑氣體的一或多者被提供。在某些實施例中,矽前驅物包含碳,而電漿氣體包含氧。在這些實施例中,經摻雜介電質層230可包含經摻雜碳氧化矽(SiOC)層。熟習技藝者對認知到諸如SiOC的化學式的使用以說明薄膜材料並不意指任何特定的原子化學計量比例。此化學式僅提供識別構成此膜的主要組成(即,大於90%、95%、98%、99%或99.5%)的原子。
可控制經摻雜介電質層230的沉積速率。在某些實施例中,經摻雜介電質層的沉積速率被控制在約500 Å/分至約3000 Å/分的範圍中。
控制經摻雜介電質層230的沉積速率,也可控制經摻雜介電質層230與介電質阻障層240的厚度。在某些實施例中,經摻雜介電質層及/或介電質阻障層的厚度在約150 nm至300 nm的範圍中。在某些實施例中,經摻雜介電質層及/或介電質阻障層的厚度小於或等於約300 nm、小於或等於約250 nm、小於或等於約200 nm、小於或等於約150 nm、或小於或等於約100 nm。
在某些實施例中,在矽前驅物與電漿之前,基板暴露於摻雜劑氣體。再次參照第1與2圖,方法100可任選地起始於操作102,藉由將包括金屬表面220的基板210暴露至摻雜劑氣體。換言之,在沉積經摻雜介電質層230之前,基板210可浸泡在摻雜劑氣體中。
方法100持續於操作106,藉由退火經摻雜介電質層230以形成介電質阻障層240。在某些實施例中,經摻雜介電質層在包含分子氮(N2 )的氣氛中退火。
在某些實施例中,在操作106的退火處理可被說明為低溫退火。在某些實施例中,經摻雜介電質層230在小於或等於約500 °C、小於或等於約450 °C、小於或等於約400 °C、或小於或等於約350 °C的溫度退火。
在某些實施例中,在操作106的退火處理可被說明為長退火。在某些實施例中,經摻雜介電質層230被退火持續在約1分鐘至約120分鐘、約60分鐘至約120分鐘、或約90分鐘至約120分鐘的範圍中的期間。在某些實施例中,經摻雜介電質層被退火持續大於或等於約1分鐘、大於或等於約10分鐘、大於或等於約30分鐘、大於或等於約60分鐘、或大於或等於約90分鐘的期間。
方法100可在操作106之後終止。在某些實施例中,方法100以任選操作108而持續。在操作108,介電質阻障層240可暴露於處理電漿以改善彈性或硬度的至少一者。在某些實施例中,處理電漿改善介電質阻障層240的彈性。在某些實施例中,處理電漿改善介電質阻障層240的硬度。
處理電漿的組成與參數可取決於介電質阻障層240的組成而改變。在某些實施例中,處理電漿包含氮原子。在某些實施例中,處理電漿包含或基本上由氨構成。在某些實施例中,以約1500 sccm至約2000 sccm的流率將氨提供至處理腔室。在某些實施例中,以約1600 sccm的流率將氨提供至處理腔室。
在某些實施例中,處理電漿具有功率在約250 W至約500 W的範圍中或在約350 W至約450 W的範圍中。在某些實施例中,處理電漿具有約400 W的功率。
在某些實施例中,介電質阻障層暴露於處理電漿持續小於或等於約30秒、小於或等於約20秒、小於或等於約15秒或小於或等於約10秒的期間。
介電質阻障層240能夠限制或防止來自金屬表面220的金屬原子擴散進入介電質阻障層。類似地,以沉積在介電質阻障層240上的額外層,介電質阻障層能夠限制或防止金屬原子擴散進入這些額外層。消除傳統阻障層(例如,Ta/TaN)有利地簡化生產處理流程並提供具有縮減厚度的電子元件。
第2圖繪示藉由方法100的處理期間的範例基板210。參照第1與2圖,方法100以具有金屬表面220的基板210開始。在操作104、經摻雜介電質層230沉積在金屬表面220上。在操作106,退火經摻雜介電質層230以形成介電質阻障層240。
在某些實施例中,操作104與106(及任選的操作102與108)在群集工具中被群集在一起。在某些實施例中,執行操作104與106及任選的操作102與108,而不破壞連續操作之間的真空。在某些實施例中,操作102、104、106與108在單一處理環境內執行。
本發明的額外實施例關於用於本文所述的處理工具,如第3圖所示。群集工具900包括至少一中央移送站921、931,其具有複數個側邊。機器人925、935定位在中央移送站921、931中且設置以移動機器葉片與晶圓至複數個側邊的每一者。
群集工具900包含複數個處理腔室902、904、906、908、910、912、914、916、與918,也被稱為處理站,連接至中央移送站921、931。各種處理腔室提供與相鄰處理腔室隔離的處理區。處理腔室可為任何合適腔室,包括但不限於,預清洗腔室、緩衝腔室、移送空間、晶圓定向/除氣腔室、低溫降溫腔室、沉積腔室、退火腔室、蝕刻腔室、熱處理(RTP)腔室、電漿處理腔室、原子層沉積(ALD)腔室。處理腔室與部件的具體佈置可取決於群集工具而改變且不應作為限制本發明的範疇。
在一或多個實施例中,群集工具900包括沉積腔室以沉積經摻雜介電質層230。某些實施例的沉積腔室包含PECVD沉積腔室。在一或多個實施例中,群集工具900包括連接至中央移送站的浸泡腔室。
在第3圖所示的實施例中,工廠界面950連接至群集工具900的前部。工廠界面950包括在工廠界面950的前部951上的裝載腔室954與卸載腔室956。雖然裝載腔室954顯示在左邊而卸載腔室956顯示在右邊,本領域的熟習技藝者會理解到此僅為一可能設置的表示。
裝載腔室954與卸載腔室956的尺寸與形狀可取決於例如將在群集工具900中處理的基板而改變。在所顯示的實施例中,制定裝載腔室954與卸載腔室956的尺寸以固持具有複數個晶圓定位在盒內的晶圓盒。
機器人952在工廠界面950內且可在裝載腔室954與卸載腔室956之間移動。機器人952能將晶圓從裝載腔室954中的盒移送通過工廠界面950至負載鎖定腔室960。機器人952也能夠將晶圓從負載鎖定腔室962移送通過工廠界面950至卸載腔室956中的盒。本領域的熟習技藝者將理解到,工廠界面950可具有多於一個機器人952。例如,工廠界面950可具有第一機器人與第二機器人,第一機器人在裝載腔室954與負載鎖定腔室960之間移送晶圓,而第二機器人在負載鎖定腔室962與卸載腔室956之間移送晶圓。
群集工具900顯示具有第一區段920與第二區段930。第一區段920透過負載鎖定腔室960、962連接至工廠界面950。第一區段920包括具有至少一機器人925定位在其中的中央移送站921。機器人925也被稱為機器人晶圓傳送機構。中央移送站921相對於負載鎖定腔室960、962、處理腔室902、904、916、918、及緩衝腔室922、924置中地定位。某些實施例的機器人925是多臂機器人,能夠在一時間獨立地移動多於一個晶圓。在一或多個實施 例中,中央移送站921包含多於一個機器人晶圓移送機構。中央移送站921中的機器人925設置以在圍繞中央移送站921的腔室之間移動晶圓。個別晶圓被承載在晶圓傳送葉片上,晶圓傳送葉片位在第一機器人機構的遠端。
在第一區段920中處理晶圓之後,晶圓可透過穿通腔室被傳遞至第二區段930。例如,腔室922、924可為單方向或雙方向穿通腔室。穿通腔室922、924可例如用以在第二區段930中處理之前低溫降溫晶圓,或容許在移動回第一區段920之前的晶圓冷卻或後處理。
系統控制器990與第一機器人925、第二機器人935、第一複數個處理腔室902、904、916、918及第二複數個處理腔室906、908、910、912、914通訊。系統控制器990可為可控制處理腔室與機器人的任何合適部件。例如,系統控制器990可為包括中央處理器、記憶體、合適電路與存儲的電腦。
處理通常可作為軟體程序儲存在系統控制器990的記憶體中,當藉由處理器執行時,致使處理腔室執行本發明的處理。軟體程序也可藉由第二處理器(未示出)而被儲存及/或執行,第二處理器位在被處理器所控制的硬體的遠端。本發明的某些或所有方法也可執行在硬體中。因此,處理可被實施在軟體中並使用電腦系統執行在硬體中,如例如應用特定積體電路或其他類型的硬體裝備,或如軟體與硬體的組合。當藉由處理器執行時,軟體程序將通用目的電腦轉變成控制腔室操作的特定目的電腦(控制器),使得處理被執行。
諸如「之下」、「下方」、「下部」、「上方」、「上部」與類似用語的空間性相對用語可在本文中用以簡化說明書以說明如圖式所繪示的一元件或特徵相對於另一元件或特徵的關係。將理解到空間性相對用語意於涵蓋除了圖式中所描繪的定向之外的使用或操作中的裝置的不同定向。例如,若圖式中的裝置被翻轉,被描述為在其他元件或特徵「下方」或「之下」的元件會接著被定向為在其他元件或特徵「上方」。因此,示例用語「下方」可涵蓋上方與下方兩者的定向。裝置可被另外定向(旋轉90度或其他定向)且在本文使用的空間性相對描述也被相應地詮釋。
在說明本文(尤其是在之後的申請專利範圍的文章中)中討論的材料與方法的文章中的用語「一(a)」與「一(an)」和「該」及類似指示語的使用是用以建構以涵蓋單數與複數兩者,除非在本文所指示並非如此或清楚地與文章相牴觸。本文的數值的範圍的列舉僅意於作為個別地參照落在此範圍內的各分開數值的速記方法,除非在本文中另外指明,且各分開數值被併入本說明書如同其個別地在本文中列舉。本文所述的所有方法可以任何合適次序執行,除非在本文所指示並非如此或清楚地與文章相牴觸。本文提供的任何與所有實例或示例語言(例如,「諸如」)的使用僅意於較佳地闡明材料與方法且不施加限制在範疇上,除非另外聲明。本說明書中沒有語言應被當作指示任何非聲明的元件對於揭示的材料與方法的實施是必要的。
在貫穿本說明書中的參照「一實施例(one embodiment)」、「某些實施例」、「一或多個實施例」、或「一實施例(an embodiment)」意指連結此實施例說明的特定特徵、結構、材料或特性被包括在本發明的至少一實施例中。因此,在貫穿本說明書中的各種地方出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一實施例中(in one embodiment)」、或「在一實施例中(in an embodiment)」的片語不必然指稱本發明的相同實施例。在一或多個實施例中,特定特徵、結構、材料、或特性以任何合適方式組合。
雖然本發明在此已參照特定實施例而說明,應理解到這些實施例僅為本發明的原理與應用的示例。在不背離本發明的精神與範疇,對於本領域的熟習技藝者而言,可對本發明的方法與設備進行各種修改與變化會是顯而易見的。因此,意欲本發明包括在隨附申請專利範圍與其等效物的範疇內的修改與變化。
100:方法 102,104,106,108:操作 210:基板 220:金屬表面 230:經摻雜介電質層 240:介電質阻障層 900:群集工具 902,904,906,908,910,912,914,916,918:處理腔室 920:第一區段 921:中央移送站 922:腔室 924:腔室 925:機器人 930:第二區段 931:中央移送站 935:機器人 950:工廠界面 952:機器人 954:裝載腔室9 956:卸載腔室 960,962:負載鎖定腔室 990:系統控制器
藉由參照實施例,某些實施例繪示在隨附圖式中,可獲得簡短總結於上的本發明之更具體的說明,使得本發明的上述特徵可被詳細理解。然而,將注意到隨附圖式僅繪示本發明的典型實施例,且因而不被當作限制本發明的範疇,由於本發明可承認其他等效實施例。
第1圖是根據本發明的一或多個實施例的形成介電質層的方法的流程圖;
第2圖繪示根據本發明的一或多個實施例的範例電子元件;及
第3圖繪示根據本發明的一或多個實施例的群集工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
100:方法
102,104,106,108:操作

Claims (19)

  1. 一種形成一介電質阻障層的方法,包含以下步驟:將具有一銅表面的一基板暴露於一摻雜劑氣體,以在該銅表面上提供一摻雜劑層,該銅表面在該基板之上,該摻雜劑氣體包含具有III族或V族元素的原子的至少一物種;藉由將該基板暴露於一矽前驅物、該摻雜劑氣體與一電漿而沉積一經摻雜介電質層以形成一經摻雜介電質層;及退火該經摻雜介電質層以形成一介電質阻障層。
  2. 如請求項1所述之方法,其中該摻雜劑氣體以至多約500sccm的一流率流動。
  3. 如請求項1所述之方法,其中該摻雜劑氣體包含硼原子。
  4. 如請求項3所述之方法,其中該摻雜劑氣體基本上由乙硼烷構成。
  5. 如請求項1所述之方法,其中該摻雜劑氣體包含磷原子。
  6. 如請求項5所述之方法,其中該摻雜劑氣體基本上由膦構成。
  7. 如請求項1所述之方法,其中該摻雜劑氣體包含氮原子。
  8. 如請求項7所述之方法,其中該摻雜劑氣體 包含氮(N2)、氨、NO2與N2O的一或多者。
  9. 如請求項1所述之方法,其中藉由利用包含碳的一矽前驅物與包含氧的一電漿氣體的一電漿輔助化學氣相沉積處理來執行沉積該經摻雜介電質層。
  10. 如請求項9所述之方法,其中該經摻雜介電質層包含一經摻雜SiOC層。
  11. 如請求項1所述之方法,其中在包含N2的一氣氛中執行退火該經摻雜介電質層。
  12. 如請求項1所述之方法,其中在小於約500℃的一溫度退火該經摻雜介電質層。
  13. 如請求項1所述之方法,其中以約1分鐘至約120分鐘的一範圍中的一期間退火該經摻雜介電質層。
  14. 如請求項1所述之方法,進一步包含將該介電質阻障層暴露於一處理電漿以改善彈性與硬度的至少一者。
  15. 如請求項14所述之方法,其中該處理電漿包含氨。
  16. 如請求項14所述之方法,其中該處理電漿具有在約250W至約500W的一範圍中的一功率,及暴露該介電質阻障層持續小於或等於約20秒。
  17. 一種形成一介電質阻障層的方法,包含以下步驟:藉由將一基板暴露於一矽前驅物、一摻雜劑氣體與一 電漿,在具有一銅表面的該基板上沉積一介電質層,以形成一經摻雜介電質層,該銅表面在該基板上,該摻雜劑氣體包含硼原子、磷原子或氮原子的一或多者;及在一氮(N2)氣氛中以小於約500℃的一溫度並持續在約60分鐘至約120分鐘的一範圍中的一期間退火該經摻雜介電質層以形成一介電質阻障層。
  18. 如請求項17所述之方法,進一步包含暴露該介電質阻障層於包含氨的一處理電漿以改善彈性與硬度的至少一者。
  19. 一種形成一介電質阻障層的方法,包含以下步驟:將具有一銅表面的一基板暴露於包含乙硼烷的一摻雜劑氣體以形成一經處理表面,該銅表面在該基板上,該摻雜劑氣體具有在約50sccm至約100sccm的一範圍中的一流率;藉由將該基板暴露於一矽前驅物、該摻雜劑氣體與一電漿,在該經處理表面上沉積一介電質層,以形成一經摻雜介電質層;及在一氮(N2)氣氛中以小於約500℃的一溫度並持續在約90分鐘至約120分鐘的一範圍中的一期間退火該經摻雜介電質層以形成一介電質阻障層;以及將該介電質阻障層暴露於包含氨的一處理電漿。
TW109119178A 2019-06-08 2020-06-08 低k介電質之自形成阻障層 TWI840569B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962859087P 2019-06-08 2019-06-08
US62/859,087 2019-06-08

Publications (2)

Publication Number Publication Date
TW202113921A TW202113921A (zh) 2021-04-01
TWI840569B true TWI840569B (zh) 2024-05-01

Family

ID=73650842

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109119178A TWI840569B (zh) 2019-06-08 2020-06-08 低k介電質之自形成阻障層

Country Status (7)

Country Link
US (1) US11289369B2 (zh)
JP (1) JP7465287B2 (zh)
KR (1) KR20220005657A (zh)
CN (1) CN113939896A (zh)
SG (1) SG11202112689WA (zh)
TW (1) TWI840569B (zh)
WO (1) WO2020251880A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11830729B2 (en) 2021-01-08 2023-11-28 Applied Materials, Inc. Low-k boron carbonitride films

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US20130181240A1 (en) * 2012-01-18 2013-07-18 Crystalwise Technology Inc. Composite substrate, manufacturing method thereof and light emitting device having the same
US20180274097A1 (en) * 2015-10-06 2018-09-27 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film

Family Cites Families (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH03155625A (ja) * 1989-11-14 1991-07-03 Seiko Epson Corp プラズマcvd膜の製造方法
JP2000332108A (ja) * 1999-05-20 2000-11-30 Nec Corp 半導体装置及びその製造方法
US7008484B2 (en) 2002-05-06 2006-03-07 Applied Materials Inc. Method and apparatus for deposition of low dielectric constant materials
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7611996B2 (en) 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7422776B2 (en) 2004-08-24 2008-09-09 Applied Materials, Inc. Low temperature process to produce low-K dielectrics with low stress by plasma-enhanced chemical vapor deposition (PECVD)
JP2008166374A (ja) * 2006-12-27 2008-07-17 Sharp Corp 絶縁膜形成方法
US8764961B2 (en) * 2008-01-15 2014-07-01 Applied Materials, Inc. Cu surface plasma treatment to improve gapfill window
US7871929B2 (en) * 2008-07-30 2011-01-18 Tel Epion Inc. Method of forming semiconductor devices containing metal cap layers
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
KR101732187B1 (ko) * 2009-09-03 2017-05-02 에이에스엠 저펜 가부시기가이샤 플라즈마 강화된 화학기상 증착법에 의해 규소-질소 결합을 갖는 등각성 유전체 막을 형성하는 방법
US8202783B2 (en) * 2009-09-29 2012-06-19 International Business Machines Corporation Patternable low-k dielectric interconnect structure with a graded cap layer and method of fabrication
CN103890910B (zh) * 2011-09-23 2017-05-17 诺发系统公司 等离子体活化保形电介质膜沉积的方法和装置
TWI645511B (zh) * 2011-12-01 2018-12-21 美商應用材料股份有限公司 用於銅阻障層應用之摻雜的氮化鉭
US10170299B2 (en) * 2015-07-01 2019-01-01 Applied Materials, Inc. Method to reduce trap-induced capacitance in interconnect dielectric barrier stack
US9711450B1 (en) * 2016-06-07 2017-07-18 International Business Machines Corporation Interconnect structures with enhanced electromigration resistance

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition
US20130181240A1 (en) * 2012-01-18 2013-07-18 Crystalwise Technology Inc. Composite substrate, manufacturing method thereof and light emitting device having the same
US20180274097A1 (en) * 2015-10-06 2018-09-27 Versum Materials Us, Llc Methods for depositing a conformal metal or metalloid silicon nitride film

Also Published As

Publication number Publication date
JP2022535146A (ja) 2022-08-04
WO2020251880A1 (en) 2020-12-17
SG11202112689WA (en) 2021-12-30
CN113939896A (zh) 2022-01-14
TW202113921A (zh) 2021-04-01
US11289369B2 (en) 2022-03-29
KR20220005657A (ko) 2022-01-13
JP7465287B2 (ja) 2024-04-10
US20200388532A1 (en) 2020-12-10

Similar Documents

Publication Publication Date Title
TWI624860B (zh) 含氧之陶瓷硬遮罩及相關濕式清潔
US10395916B2 (en) In-situ pre-clean for selectivity improvement for selective deposition
TWI730990B (zh) 用於沉積介電質阻障層以及含鋁的蝕刻終止層之方法
US20180144973A1 (en) Electromigration Improvement Using Tungsten For Selective Cobalt Deposition On Copper Surfaces
TW202208658A (zh) 金屬阻障層之摻雜
US10141195B2 (en) Substrate processing method
TWI840569B (zh) 低k介電質之自形成阻障層
US8163343B2 (en) Method of forming an aluminum oxide layer
JP7144532B2 (ja) 選択的エッチングプロセスの選択性を高める方法
WO2021150280A1 (en) Methods and devices for subtractive self-alignment
JP7519445B2 (ja) 選択的間隙充填のための低温プラズマ前洗浄
TWI807195B (zh) 用於改良高k可靠度之摻氟氮化物膜
TW202231905A (zh) 共形氧化矽膜沉積
JP7455968B2 (ja) Pmos高誘電率金属ゲート
TWI853934B (zh) 基於金屬的氫氣阻障
TW202407133A (zh) 整合的清潔及選擇性鉬沉積製程
TW202333223A (zh) 用於氧化鎢移除之氟化鎢浸泡及處理
TW202105465A (zh) 基於金屬的氫氣阻障
TW202403884A (zh) 複合阻障層
TW202314800A (zh) 用於底層金屬上之完全著底通孔之選擇性蝕刻停止封蓋及選擇性通孔開口之方法及裝置
TW202315118A (zh) 經摻雜之含鉭阻障膜
TW202226596A (zh) Pmos的高k金屬閘極
CN117480587A (zh) 用于制备金属硅化物的方法