TWI807195B - 用於改良高k可靠度之摻氟氮化物膜 - Google Patents

用於改良高k可靠度之摻氟氮化物膜 Download PDF

Info

Publication number
TWI807195B
TWI807195B TW109121524A TW109121524A TWI807195B TW I807195 B TWI807195 B TW I807195B TW 109121524 A TW109121524 A TW 109121524A TW 109121524 A TW109121524 A TW 109121524A TW I807195 B TWI807195 B TW I807195B
Authority
TW
Taiwan
Prior art keywords
fluorine
nitride film
metal
infused
metal nitride
Prior art date
Application number
TW109121524A
Other languages
English (en)
Other versions
TW202107538A (zh
Inventor
楊逸雄
史林尼維斯 干德可塔
史蒂芬 C H 洪
賈桂琳 S 倫區
林永景
蘇史密辛哈 羅伊
唐薇
陳世忠
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW202107538A publication Critical patent/TW202107538A/zh
Application granted granted Critical
Publication of TWI807195B publication Critical patent/TWI807195B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28185Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the gate insulator and before the formation of the definitive gate conductor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Glass Compositions (AREA)

Abstract

揭示形成具有併入氟的金屬氮化物膜的半導體元件。基板表面暴露於金屬氟化物前驅物以在基板表面上形成金屬氟物種。基板表面暴露於氮化劑以與金屬氟物種反應而形成併入氟的金屬氮化物膜。

Description

用於改良高K可靠度之摻氟氮化物膜
本發明的實施例大體上係關於沉積高k膜的方法。具體地,本發明的實施例係關於沉積具有均勻氟組成的高k膜的方法。
高介電質常數(k)膜使用在許多半導體元件中。例如,高k膜使用在具有電晶體與金屬氧化物半導體電容(MOSCAP)的金屬閘極中。由於若干因素,高k膜的整體可靠性會是一種問題。高k膜已知遭受磁滯(hysteresis);以重覆的電壓循環顯示出電容效能的偏移。
用於高k膜的習知沉積技術形成顯著地劣化元件可靠性的缺陷。這些缺陷包括形成在介電質層中的氧空位。這些氧空位捕捉電荷,造成磁滯效應。氟摻雜會是能夠置換或占據至少某些氧空位。現行的氟摻雜在高溫的多步驟處理中執行,造成增加的製造成本與增加潛在的污染及/或損傷的來源。
因此,需要形成具有改善可靠性的高k膜的方法。
本發明的一或多個實施例關於形成半導體元件的方法。基板表面暴露於金屬氟化物前驅物,以在基板表面上形成金屬氟物種。基板表面接著暴露於氮化劑以與金屬氟物種反應,以形成併入氟的金屬氮化物膜。
本發明的額外實施例關於形成半導體元件的方法,包含將包含高k材料的基板表面暴露於若干沉積循環。每個沉積循環包含依序暴露於金屬氟化物前驅物與氮化劑。金屬氟化物前驅物包含四氟化鈦(TiF4 )以在基板表面上形成包含鈦原子的金屬氟物種。包含氨(NH3 )的氮化劑與包含鈦原子的金屬氟物種反應,以在高k材料上形成包含鈦原子的併入氟的金屬氮化物膜。高k材料具有在包含鈦原子的金屬氟物種的形成之前的第一數目的氧空位與第一氟組成及在包含鈦原子的金屬氟物種的形成之後的第二數目的氧空位與第二氟組成,第二數目的氧空位少於第一數目的氧空位及第二氟組成大於第一氟組成。
本發明的進一步實施例關於形成半導體元件的方法,包含在包含至少一特徵的基板上形成包含氧化鉿(HfO2 )的高k材料。一厚度的併入氟的氮化鈦膜形成在高k材料上,以增加高k材料的氟組成與減少高k材料中的氧空位的數目。形成併入氟的氮化鈦膜包含將基板依序暴露於氟化鈦前驅物與氨氮化劑。
在描述本發明的數個範例實施例之前,將理解到本發明並不局限於在之後的說明書中所述的架構或處理步驟的細節。本發明可為其他實施例且可以各種方式實施或執行。
在本說明書與隨附申請專利範圍中使用時,用語「基板」指稱一表面或一表面的一部分,且在其上方可進行製程。除非在文章中清楚地指出並非如此,本領域的熟習此項技術者也將理解到關於一基板,可僅指稱此基板的一部分。此外,關於沉積在基板上可意指在裸的基板與具有一或多個膜或特徵沉積或形成在其上的基板兩者上。
本文所使用的「基板」指稱任何基板或形成在基板上的材料表面,膜處理在製造處理期間在其上方執行。例如,取決於應用,在其上方可執行處理的基板表面包括材料,諸如矽、氧化矽、應變矽、絕緣體上矽(SOI)、碳摻雜氧化矽、非晶矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石、及任何其他材料,諸如金屬、金屬氮化物、金屬合金、及其他導電材料。基板不受限地包括半導體晶圓。基板可暴露至預處理製程以拋光、蝕刻、還原、氧化、羥基化、退火、UV固化、電子束固化及/或烘烤基板表面。除了直接在基板本身表面上的膜處理之外,在本發明中,所揭示的任何膜處理步驟也可執行在形成在基板上的下方層上,如之後更詳細說明的,而用語「基板表面」意欲包括當文章所指示的此下方層。因此,例如,在膜/層或部分的膜/層已經沉積在基板表面上的地方,新沉積的膜/層的暴露表面成為基板表面。
本發明的一或多個實施例有利地提供方法以減少高k介電質中的氧空位。一或多個實施例有利地提供方法避免高k介電質膜的膜劣化。一或多個實施例有利地提供調整高k介電質膜中的氟含量的方法。某些實施例有利地提供沉積具有貫穿膜厚度的均勻氟含量的併入氟的金屬氮化物膜的方法。某些實施例有利地提供無電漿或離子佈植處理的形成共形的併入氟的金屬氮化物膜的方法。本發明的一或多個實施例係關於用於電晶體及/或金屬閘極的高k堆疊。本發明的某些實施例提供用於形成併入氟的金屬氮化物膜的整合方法。
第1圖繪示根據本發明的一或多個實施例的範例方法100的流程圖。第2A與2B圖繪示根據本發明的一或多個實施例的範例半導體元件200的形成。
參照第1、2A與2B圖,方法100以可選的預處理操作105開始。預處理操作105可為任何合適處理或多個處理以製備基板。在某些實施例中,預處理操作105包含以下一或多者:從基板210移除原生氧化物(未示出);在基板210上形成氧化物層220;在基板210或氧化物層220上形成高k材料230。如第2A圖所示,高k材料230具有在膜內的第一數目的氧空位232。氧空位232會致使膜劣化與高k材料230的不佳效能。
在操作110中,形成併入氟的金屬氮化物膜240。 併入氟的金屬氮化物膜240也稱為MN(F)膜。在某些實施例中,化學式中的「M」被一元素取代;例如,TiN(F)以指明併入氟的鈦氮化物膜。
在某些實施例中,操作110包含原子層沉積 (ALD)方法,其包含執行在合適處理腔室中的複數個處理。ALD處理包含至少一沉積循環,至少一沉積循環包含基板表面的依序暴露於第一反應氣體與第二反應氣體。
在操作112中,基板暴露於處理腔室中的金屬氟化物前驅物,以在基板表面上形成金屬氟物種。處理腔室接著在處理114中排淨未反應的金屬氟化物前驅物、反應產物與副產物。在排淨之後,在處理116中,基板表面暴露於氮化劑以與金屬氟物種反應,以形成併入氟的金屬氮化物膜240。處理腔室接著在處理118中排淨,以移除未反應的氮化劑、反應產物與副產物。
在決定點120處,若併入氟的金屬氮化物膜240尚未達到預定厚度,方法100重覆操作110的沉積循環。在某些實施例中,預定厚度在範圍為約2 Å至約50 Å、或在範圍為約3 Å至約40 Å、或在範圍為約5 Å至約30 Å、或在範圍為約5 Å至約20 Å、或在範圍為約7 Å至約15 Å。
金屬氟化物前驅物可包含任何合適金屬。在某些實施例中,金屬氟化物前驅物包含選自鈦(Ti)、鉭(Ta)、鎢(W)、釕(Ru)或鉬(Mo)中一或多者的金屬。在某些實施例中,金屬氟化物前驅物包含基本上由鈦(Ti)、鉭(Ta)、鎢(W)、釕(Ru)或鉬(Mo)中一或多者所組成的金屬。以此方式使用時,用語「基本上由…所組成」意指在金屬氟化物前驅物或金屬氟物種中的金屬原子為大於或等於約95%、98%、99%或99.5%的所述物種或物種的總和。在一或多個實施例中,金屬氟化物前驅物包含四氟化鈦(TiF4 )。在一或多個實施例中,金屬氟化物前驅物基本上由四氟化鈦(TiF4 )所組成。
氮化劑可包含能夠氮化基板表面上的金屬氟物種的任何合適反應物種。在某些實施例中,氮化劑包含氨(NH3 )、分子氮(N2 )、一氧化二氮(N2 O)、一氧化氮(NO)、二氧化氮(NO2 )或聯氨(N2 H2 )的一或多者。在某些實施例中,氮化劑基本上由氨(NH3 )、分子氮(N2 )、一氧化二氮(N2 O)、一氧化氮(NO)、二氧化氮(NO2 )或聯氨(N2 H2 )的一或多者所組成。以此方式使用時,用語「基本上由…所組成」意指氮化劑具有大於或等於約95%、98%、99%或99.5%的所述物種。在某些實施例中,氮化劑包含氨或基本上由氨所組成。在某些實施例中,氮化劑不包括電漿。
某些實施例的基板210表面是高k材料。某些實施例的高k材料包含氧化鉿(HfO2 )或基本上由氧化鉿(HfO2 )所組成。如第2A與2B圖所示,高k材料230具有在併入氟的金屬氮化物膜的形成之前的第一數目的氧空位232,如第2A圖所示,及具有在併入氟的金屬氮化物膜的形成之後的第二數目的氧空位232,如第2B圖所示。第二數目的氧空位232少於第一數目的氧空位232。在某些實施例中,氧空位的數目減少多於或等於約10%、20%、30%、40%、50%、60%、70%、80%或90%。
在某些實施例中,在併入氟的金屬氮化物膜的形成之後,高k材料230具有氧含量少於或等於約5、4.5、4.25、4、3.75、3.5、3.25或3原子百分比。
高k材料230具有在併入氟的金屬氮化物膜240的形成之前的第一氟234組成,如第2A圖所示,及具有在併入氟的金屬氮化物膜240的形成之後的第二氟234組成,如第2B圖所示。在某些實施例中,第二氟組成在範圍為約2至約30原子百分比、或在範圍為約2.5至約20原子百分比、或在範圍為約3至約15原子百分比、或在範圍為約3.5至約10原子百分比。
根據某些實施例,在併入氟的金屬氮化物膜240的形成之後,高k材料230的氟組成貫穿高k材料230的厚度是實質上均勻。以此方式使用時,用語「實質上均勻」 意指在高k材料230中無氟原子的濃度梯度,或在高k材料230的頂部處的氟組成在高k材料230的底部處的氟組成的±60%內。
參照第3圖,在某些實施例中,基板210包含至少一特徵312。特徵312可例如為溝槽、通孔或鰭。特徵312在第3圖中被繪示為溝槽;然而熟習此項技術者會認知到此僅為一種可能配置的代表。特徵 312包含側壁314、底部316、與頂部318。在某些實施例中,併入氟的金屬氮化物膜240是共形膜。
某些實施例的併入氟的金屬氮化物膜240具有藉由原子力顯微鏡(AFM)所量測的表面粗糙度小於或等於約0.4 nm (Rms)。在某些實施例中,在高k材料230上的併入氟的金屬氮化物膜240的表面粗糙度藉由AFM所量測為小於或等於約0.4、0.3、0.25或0.2 nm (Rms)。
某些實施例的併入氟的金屬氮化物膜240具有電阻率小於或等於約2000、1500或1000 µohm-cm。
在某些實施例中,併入氟的金屬氮化物膜240形成於溫度在範圍為約250 ºC至約600 ºC、或在範圍為約300 ºC至約550 ºC、或在範圍為約350 ºC至約500 ºC。
回頭參照第1圖,若決定點120指示出膜已經形成至預定厚度,方法100進行至可選的後處理130。後處理130可為任何合適處理,包括但不限於,退火、平面化、從處理腔室移除、移動至另一處理腔室、或在併入氟的金屬氮化物膜240上形成金屬閘極250,如第2B圖所示。在某些實施例中,後處理130包含在包含氬(Ar)、分子氫(H2 )或分子氮(N2 )的一或多者的環境中退火此膜。在某些實施例中,將併入氟的金屬氮化物膜240退火致使高k材料230中的氟含量的減少。在某些實施例中,高k材料230中的氟含量可藉由在合適條件下的退火處理而進一步調整。
本發明的額外實施例針對處理工具900,用於所說明的併入氟的金屬氮化物膜的形成。
群集工具900包括至少一中央移送站921、931,其具有複數個側邊。機器人925、935定位在中央移送站921、931內且設置以移動機器人葉片與晶圓至複數個側邊的每一者。
群集工具900包含複數個處理腔室902、904、906、908、910、912、914、916、與918,其也稱為處理站並連接至中央移送站。各種處理腔室提供與相鄰處理站隔離的單獨的處理區。處理腔室可為任何合適腔室,包括但不限於,預清洗腔室、緩衝腔室、移送空間、晶圓定位/除氣腔室、低溫降溫腔室、沉積腔室、退火腔室、蝕刻腔室、及原子層沉積(ALD)腔室。處理腔室與部件的特定佈置可取決於群集工具而變化且不應當作限制本發明的範疇。
在一或多個實施例中,群集工具900包括金屬腔室以沉積金屬,及包括金屬蓋腔室以沉積金屬蓋。某些實施例的金屬及/或金屬蓋沉積腔室包含原子層沉積腔室、電漿增強原子層沉積腔室、或空間原子層沉積腔室。在一或多個實施例中,群集工具900包括連接至中央移送站的預清洗腔室。
在第4圖所示的實施例中,工廠界面950連接至群集工具900的前部。工廠界面950包括在工廠界面950的前部951上的裝載腔室954與卸載腔室956。雖然裝載腔室954顯示在左邊而卸載腔室956顯示在右邊,但熟習此項技術者會理解到此僅為一種可能配置的代表例。
裝載腔室954與卸載腔室956的尺寸與形狀可取決於例如,在群集工具900中被處理的基板而變化。在所顯示的實施例中,裝載腔室954與卸載腔室956的尺寸被設置以固持具有複數個晶圓定位在盒中的晶圓盒。
機器人952在工廠界面950內且可在裝載腔室954與卸載腔室956之間移動。機器人952能夠將晶圓從裝載腔室954中的盒移送通過工廠界面950至裝載閘腔室960。機器人952也能夠將晶圓從裝載閘腔室962移送通過工廠界面950至卸載腔室956中的盒。如本領域的通常知識者會理解到的,工廠界面950可具有多於一個機器人952。例如,工廠界面950可具有第一機器人與第二機器人,第一機器人在裝載腔室954與裝載閘腔室960之間移送晶圓,而第二機器人在裝載閘962與卸載腔室956之間移送晶圓。
顯示的群集工具900具有第一區段920與第二區段930。第一區段920透過裝載閘腔室960、962連接至工廠界面950。第一區段920包括第一移送腔室921,具有至少一機器人925定位在其中。機器人925也被稱為機器人晶圓傳送機構。第一移送腔室921位在相對於裝載閘腔室960、962、處理腔室902、904、916、918、與緩衝腔室922、924的中央。某些實施例的機器人925是多臂機器人,能夠在一時間獨立地移動多於一個晶圓。 在一或多個實施例中,第一移送腔室921包含多於一個機器人晶圓移送機構。第一移送腔室921中的機器人925設置成在圍繞第一移送腔室921的腔室之間移動晶圓。個別晶圓被承載在晶圓傳送葉片之上,晶圓傳送葉片位在第一機器人機構的遠端處。
在第一區段920中處理晶圓之後,晶圓可透過穿通腔室被傳遞至第二區段930。例如,腔室922、924可為單方向或雙方向穿通腔室。穿通腔室922、924可例如用以在第二區段930中的處理之前低溫降溫晶圓,或容許在移動回第一區段920之前的晶圓冷卻或後處理。
系統控制器990與第一機器人925、第二機器人935、第一複數個處理腔室902、904、916、918及第二複數個處理腔室906、908、910、912、914通訊。系統控制器990可為可控制處理腔室與機器人的任何合適部件。例如,系統控制器990可為包括中央處理單元、記憶體、合適電路與儲存器的電腦。
處理通常可作為軟體例程儲存在系統控制器990的記憶體中,當藉由處理器執行該軟體例程時,致使處理腔室執行本發明的處理。軟體例程也可藉由第二處理器(未示出)而被儲存及/或執行,第二處理器位在被處理器所控制的硬體的遠端。本發明的某些或所有方法也可執行在硬體中。因此,處理可被實施在軟體中並使用電腦系統執行在硬體中,如例如應用特定積體電路或其他類型的硬體裝備,或如軟體與硬體的組合。當藉由處理器執行時,軟體例程將通用目的電腦轉變成控制腔室操作的特定目的電腦(控制器)以執行處理。
在一或多個實施例中,處理工具900包含中央移送站921、931,包含設置以移動晶圓的至少一機器人925、935;連接至中央移送站的一或多個處理站;連接至中央移送站的原子層沉積(ALD)站;退火站;及連接一或多個處理站、ALD站或可選的預清洗站的至少一控制器。在一或多個實施例中,至少一控制器具有選自下列的至少一配置:使用機器人在站之間移動晶圓的配置;沉積併入氟的金屬氮化物膜的配置;執行基板的退火而不將基板暴露於氧的配置。
在貫穿本說明書中的參照「一實施例(one embodiment)」、「某些實施例」、「一或多個實施例」、或「一實施例(an embodiment)」意指結合此實施例說明的特定特徵、結構、材料或特性被包括在本發明的至少一實施例中。因此,在貫穿本說明書中的各種地方出現的諸如「在一或多個實施例中」、「在某些實施例中」、「在一實施例中(in one embodiment)」、或「在一實施例中(in an embodiment)」的片語不一定指稱本發明的相同實施例。再者,在一或多個實施例中,特定特徵、結構、材料、或特性以任何合適方式組合。
雖然本發明在此已參照特定實施例而說明,本領域的熟習此項技術者將理解到所述實施例僅為本發明的原理與應用的示例。在不背離本發明的精神與範疇,對於本領域的熟習此項技術者而言,可對本發明的方法與設備進行各種修改與變化會是顯而易見的。因此,意欲本發明可包括在隨附申請專利範圍與其等效物的範疇內的修改與變化。
100:方法 105:預處理操作 110:操作 112:操作 114:處理 116:處理 118:處理 120:決定點 130:後處理 200:半導體元件 210:基板 220:氧化物層 230:高k材料 232:氧空位 234:氟 240:併入氟的金屬氮化物膜 250:金屬閘極 312:特徵 314:側壁 316:底部 318:頂部 900:群集工具 902,904,906,908,910,912,914,916,918:處理腔室 920:第一區段 921:中央移送站 922,924:腔室 925:機器人 930:第二區段 931:中央移送站 935:機器人 950:工廠界面 952:機器人 954:裝載腔室 956:卸載腔室 960,962:裝載閘腔室 990:系統控制器
藉由參照實施例,某些實施例繪示在隨附圖式中,可獲得簡短總結於上的本發明之更具體的說明,使得本發明的上述特徵可被詳細理解。然而,將注意到隨附圖式僅繪示本發明的典型實施例,且因而不被當作限制本發明的範疇,因為本發明可承認其他等效實施例。
第1圖顯示根據本發明的一或多個實施例的範例方法的流程圖;
第2A圖顯示根據本發明的一或多個實施例的在併入氟的金屬氮化物膜的形成之前的半導體元件;
第2B圖顯示根據本發明的一或多個實施例的在併入氟的金屬氮化物膜的形成之後的第2A圖的半導體元件;
第3圖顯示根據本發明的一或多個實施例的半導體元件;
第4圖顯示根據本發明的一或多個實施例的群集工具。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
200:半導體元件
210:基板
220:氧化物層
230:高k材料
232:氧空位
234:氟
240:併入氟的金屬氮化物膜
250:金屬閘極

Claims (18)

  1. 一種形成一半導體元件的方法,該方法包含:將包含一高k材料之一基板表面暴露於一金屬氟化物前驅物,以在該基板表面上形成一金屬氟物種;將該基板表面暴露於一氮化劑以與該金屬氟物種反應,以形成一併入氟的金屬氮化物膜;重覆暴露於該金屬氟化物前驅物與該氮化劑,以形成具有一預定厚度的一併入氟的金屬氮化物膜;及退火該併入氟的金屬氮化物膜,以減少該高k材料的一氟含量。
  2. 如請求項1所述之方法,其中該金屬氟化物包含一金屬,該金屬選自鈦(Ti)、鉭(Ta)、鎢(W)、釕(Ru)或鉬(Mo)的一者或多者。
  3. 如請求項1所述之方法,其中該氮化劑包含氨(NH3)、分子氮(N2)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)或聯氨(N2H2)的一者或多者。
  4. 如請求項3所述之方法,其中該氮化劑不是一電漿。
  5. 如請求項1所述之方法,其中該高k材料包含氧化鉿(HfO2)。
  6. 如請求項1所述之方法,其中該高k材料具有在該併入氟的金屬氮化物膜的形成之前的一第一數目的氧空位及在該併入氟的金屬氮化物膜的形成之後的一 第二數目的氧空位,該第二數目的氧空位少於該第一數目的氧空位。
  7. 如請求項6所述之方法,其中該高k材料具有一氧含量小於或等於4.5原子百分比。
  8. 如請求項1所述之方法,其中該高k材料具有在該併入氟的金屬氮化物膜的形成之前的一第一氟組成及在該併入氟的金屬氮化物膜的形成之後的一第二氟組成,該第二氟組成大於該第一氟組成。
  9. 如請求項1所述之方法,其中該高k材料在該併入氟的金屬氮化物膜的形成之後具有貫穿該高k材料的一厚度的一均勻氟含量。
  10. 如請求項9所述之方法,其中該氟含量在範圍為2原子百分比至30原子百分比。
  11. 如請求項1所述之方法,其中該基板表面包含至少一表面特徵,該至少一表面特徵具有多個側壁、一頂部與一底部。
  12. 如請求項11所述之方法,其中該併入氟的金屬氮化物膜是一共形膜。
  13. 如請求項12所述之方法,其中該併入氟的金屬氮化物膜具有範圍在5Å至30Å的一厚度。
  14. 如請求項12所述之方法,其中該併入氟的金屬氮化物膜具有一表面粗糙度,該表面粗糙度為一原子力顯微鏡(AFM)測量的小於或等於0.4nm(Rms)。
  15. 如請求項12所述之方法,其中該併入氟的 金屬氮化物膜具有一電阻率小於或等於2000μohm-cm。
  16. 如請求項1所述之方法,其中該併入氟的金屬氮化物膜在範圍為250℃至600℃的一溫度形成。
  17. 一種形成一半導體元件的方法,該方法包含:將包含一高k材料的一基板表面暴露一數目的沉積循環,每個沉積循環包含依序暴露於一金屬氟化物前驅物與一氮化劑,該金屬氟化物前驅物包含四氟化鈦(TiF4)以在該基板表面上形成包含鈦原子的一金屬氟物種,包含氨(NH3)的該氮化劑與包含鈦原子的該金屬氟物種反應,以在該高k材料上形成包含鈦原子的一併入氟的金屬氮化物膜;及退火該併入氟的金屬氮化物膜,以減少該高k材料的一氟含量,其中該高k材料具有在包含鈦原子的該金屬氟物種的形成之前的一第一數目的氧空位與一第一氟組成及在包含鈦原子的該金屬氟物種的形成之後的一第二數目的氧空位與一第二氟組成,該第二數目的氧空位少於該第一數目的氧空位及該第二氟組成大於該第一氟組成。
  18. 一種形成一半導體元件的方法,該方法包含:在包含至少一特徵的一基板上形成包含氧化鉿 (HfO2)的一高k材料;在該高k材料上形成一厚度的一併入氟的氮化鈦膜,以增加該高k材料的一氟組成及減少該高k材料中的氧空位的一數目;及退火該併入氟的金屬氮化物膜,以減少該高k材料的一氟含量,其中形成該併入氟的氮化鈦膜包含將該基板依序暴露於一氟化鈦前驅物與一氨氮化劑。
TW109121524A 2019-06-28 2020-06-24 用於改良高k可靠度之摻氟氮化物膜 TWI807195B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201962868848P 2019-06-28 2019-06-28
US62/868,848 2019-06-28

Publications (2)

Publication Number Publication Date
TW202107538A TW202107538A (zh) 2021-02-16
TWI807195B true TWI807195B (zh) 2023-07-01

Family

ID=74042620

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109121524A TWI807195B (zh) 2019-06-28 2020-06-24 用於改良高k可靠度之摻氟氮化物膜

Country Status (4)

Country Link
US (1) US11171047B2 (zh)
KR (1) KR102582925B1 (zh)
TW (1) TWI807195B (zh)
WO (1) WO2020264458A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020033535A1 (en) * 1998-04-28 2002-03-21 Katherina Babich Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
TW201732066A (zh) * 2015-10-16 2017-09-16 Asm Ip控股公司 光子裝置、用於沈積層於光子裝置中的氣相沈積製程以及光活性材料
US10163657B1 (en) * 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5393565A (en) 1992-06-08 1995-02-28 Fujitsu Limited Method for deposition of a refractory metal nitride and method for formation of a conductive film containing a refractory metal nitride
KR101013231B1 (ko) * 2001-09-14 2011-02-10 에이에스엠 인터내셔널 엔.브이. 환원펄스를 이용한 원자층증착에 의한 질화금속증착
JP3574651B2 (ja) 2002-12-05 2004-10-06 東京エレクトロン株式会社 成膜方法および成膜装置
US20050064701A1 (en) 2003-09-19 2005-03-24 International Business Machines Corporation Formation of low resistance via contacts in interconnect structures
KR100794661B1 (ko) 2006-08-18 2008-01-14 삼성전자주식회사 기판 처리 장치 및 그 장치의 세정 방법
US7776765B2 (en) * 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US10513772B2 (en) * 2009-10-20 2019-12-24 Asm International N.V. Process for passivating dielectric films
US8637390B2 (en) 2010-06-04 2014-01-28 Applied Materials, Inc. Metal gate structures and methods for forming thereof
KR20130056608A (ko) 2011-11-22 2013-05-30 에스케이하이닉스 주식회사 상변화 메모리 장치 및 그의 제조방법
US9082702B2 (en) * 2012-02-27 2015-07-14 Applied Materials, Inc. Atomic layer deposition methods for metal gate electrodes
JP6154215B2 (ja) 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
JP2015103729A (ja) 2013-11-27 2015-06-04 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9394609B2 (en) 2014-02-13 2016-07-19 Asm Ip Holding B.V. Atomic layer deposition of aluminum fluoride thin films
JP2015180768A (ja) 2014-03-06 2015-10-15 株式会社日立国際電気 基板処理装置及び半導体装置の製造方法並びに記録媒体
US9236261B2 (en) 2014-04-01 2016-01-12 Globalfoundries Inc. Deposition of titanium-aluminum layers
US10643925B2 (en) * 2014-04-17 2020-05-05 Asm Ip Holding B.V. Fluorine-containing conductive films
US20180094352A1 (en) 2016-09-30 2018-04-05 Uchicago Argonne, Llc Systems and methods for metal layer adhesion

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020033535A1 (en) * 1998-04-28 2002-03-21 Katherina Babich Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US7045430B2 (en) * 2002-05-02 2006-05-16 Micron Technology Inc. Atomic layer-deposited LaAlO3 films for gate dielectrics
US20150132938A1 (en) * 2013-11-13 2015-05-14 Intermolecular, Inc. Methods and Systems for Forming Reliable Gate Stack on Semiconductors
TW201732066A (zh) * 2015-10-16 2017-09-16 Asm Ip控股公司 光子裝置、用於沈積層於光子裝置中的氣相沈積製程以及光活性材料
US10163657B1 (en) * 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture

Also Published As

Publication number Publication date
US11171047B2 (en) 2021-11-09
KR102582925B1 (ko) 2023-09-26
KR20220024938A (ko) 2022-03-03
WO2020264458A1 (en) 2020-12-30
TW202107538A (zh) 2021-02-16
US20200411373A1 (en) 2020-12-31

Similar Documents

Publication Publication Date Title
JP5590886B2 (ja) 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
JP4914573B2 (ja) 高誘電体ゲート絶縁膜及び金属ゲート電極を有する電界効果トランジスタの製造方法
TW201842539A (zh) 金屬氮化物膜的選擇性蝕刻
US9879341B2 (en) Method and apparatus for microwave assisted chalcogen radicals generation for 2-D materials
CN109671666B (zh) 用于beol互连的ald铜与高温pvd铜沉积的集成
JP2024508640A (ja) 双極子膜を用いたmosfetゲートエンジニアリング
TWI807195B (zh) 用於改良高k可靠度之摻氟氮化物膜
JP7465287B2 (ja) 自己形成バリア層を備えた低誘電率誘電体
US11189479B2 (en) Diffusion barrier layer
JP7455968B2 (ja) Pmos高誘電率金属ゲート
TW202009975A (zh) 藉由氮化鈦與鋁膜的整合沉積用於摻雜工程與臨界電壓調整之方法與設備
CN116918034A (zh) 用以改善装置效能的处理
TW202208658A (zh) 金屬阻障層之摻雜
CN114207808A (zh) 处理动态随机存取存储器的方法
TWI840569B (zh) 低k介電質之自形成阻障層
US20230097400A1 (en) Pmos high-k metal gates
US20230178375A1 (en) Methods for forming work function modulating layers
JP2024519912A (ja) 双極子領域を有するv-nandスタック
KR20230146652A (ko) 저 저항률 dram 매립식 워드 라인 스택
TW202230805A (zh) 用於降低接觸電阻之金屬蓋
TW202301484A (zh) 基於非晶矽的清除及密封等效氧化物厚度
TW202324579A (zh) 用於閘極堆疊開發的整合濕式清潔
TW202204055A (zh) 用於選擇性間隙填充之低溫電漿預清潔
CN116918070A (zh) 具有偶极膜的mosfet栅极工程